Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Real-time raw signal genomic analysis using fully integrated memristor hardware

A preprint version of the article is available at arXiv.

Abstract

Advances in third-generation sequencing have enabled portable and real-time genomic sequencing, but real-time data processing remains a bottleneck, hampering on-site genomic analysis. These technologies generate noisy analog signals that traditionally require basecalling and read mapping, both demanding costly data movement on von Neumann hardware. Here, to overcome this, we present a memristor-based hardware–software codesign that processes raw sequencer signals directly in analog memory, combining the two separated steps. By exploiting intrinsic device noise for locality-sensitive hashing and implementing parallel approximate searches in content-addressable memory, we experimentally showcase on-site applications, including infectious disease detection and metagenomic classification on a fully integrated memristor chip. Our experimentally validated analysis confirms the effectiveness of this approach on real-world tasks, achieving a 97.15% F1 score in virus raw signal mapping, with 51× speed-up and 477× energy saving over an application-specific integrated circuit. These results demonstrate that in-memory computing hardware provides a viable solution for integration with portable sequencers, enabling real-time and on-site genomic analysis.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Real-time genomic analysis in memristor crossbar and memristor CAM.
Fig. 2: In-memory raw signal analysis pipeline with hardware–software codesign.
Fig. 3: Experimental implementation for memristor-based real-time genomic analysis.
Fig. 4: Experimental results for infectious virus detection.
Fig. 5: Experimental results for metagenomic classification.
Fig. 6: Scaled-up simulations and performance comparison.

Similar content being viewed by others

Data availability

The viral genome dataset58 is available via CADDE Centre at https://cadde.s3.climb.ac.uk/SP1-raw.tgz, and the bacterial genome dataset59 is available via the NCBI database at https://sra-pub-src-2.s3.amazonaws.com/ERR9127551/ecoli_r9.tar.gz.1. Source data are provided with this paper.

Code availability

The code for scaled-up simulations based on the real-world memristor conductance and real sequencing data is publicly available via GitHub at https://github.com/peiyihe/Mem_RT_Genomics and via Zenodo at https://doi.org/10.5281/zenodo.16573985 (ref. 60).

References

  1. Shafin, K. et al. Nanopore sequencing and the shasta toolkit enable efficient de novo assembly of eleven human genomes. Nat. Biotechnol. 38, 1044–1053 (2020).

    Article  Google Scholar 

  2. Garalde, D. R. et al. Highly parallel direct RNA sequencing on an array of nanopores. Nat. Methods 15, 201–206 (2018).

    Article  Google Scholar 

  3. Wang, Y., Zhao, Y., Bollas, A., Wang, Y. & Au, K. F. Nanopore sequencing technology, bioinformatics and applications. Nat. Biotechnol. 39, 1348–1365 (2021).

    Article  Google Scholar 

  4. Lu, R. et al. Genomic characterisation and epidemiology of 2019 novel coronavirus: implications for virus origins and receptor binding. Lancet 395, 565–574 (2020).

    Article  Google Scholar 

  5. Quick, J. et al. Real-time, portable genome sequencing for Ebola surveillance. Nature 530, 228–232 (2016).

    Article  Google Scholar 

  6. Wadden, J. et al. Ultra-rapid somatic variant detection via real-time targeted amplicon sequencing. Commun. Biol. 5, 708 (2022).

    Article  Google Scholar 

  7. Hamner, S. et al. Metagenomic profiling of microbial pathogens in the Little Bighorn River, Montana. Int. J. Environ. Res. Public Health 16, 1097 (2019).

    Article  Google Scholar 

  8. Bowden, R. et al. Sequencing of human genomes with nanopore technology. Nat. Commun. 10, 1869 (2019).

    Article  Google Scholar 

  9. Loose, M., Malla, S. & Stout, M. Real-time selective sequencing using nanopore technology. Nat. Methods 13, 751–754 (2016).

    Article  Google Scholar 

  10. Kovaka, S., Fan, Y., Ni, B., Timp, W. & Schatz, M. C. Targeted nanopore sequencing by real-time mapping of raw electrical signal with uncalled. Nat. Biotechnol. 39, 431–441 (2021).

    Article  Google Scholar 

  11. Payne, A. et al. Readfish enables targeted nanopore sequencing of gigabase-sized genomes. Nat. Biotechnol. 39, 442–450 (2021).

    Article  Google Scholar 

  12. Zhang, H. et al. Real-time mapping of nanopore raw signals. Bioinformatics 37, i477–i483 (2021).

    Article  Google Scholar 

  13. Firtina, C. et al. Rawhash: enabling fast and accurate real-time analysis of raw nanopore signals for large genomes. Bioinformatics 39, i297–i307 (2023).

    Article  Google Scholar 

  14. Firtina, C., Soysal, M., Lindegger, J. & Mutlu, O. Rawhash2: mapping raw nanopore signals using hash-based seeding and adaptive quantization. Bioinformatics 40, btae478 (2024).

    Article  Google Scholar 

  15. Lindegger, J. et al. Rawalign: accurate, fast, and scalable raw nanopore signal mapping via combining seeding and alignment. IEEE Access 12, 196855–196865 (2024).

    Article  Google Scholar 

  16. Shivakumar, V. S., Ahmed, O. Y., Kovaka, S., Zakeri, M. & Langmead, B. Sigmoni: classification of nanopore signal with a compressed pangenome index. Bioinformatics 40, i287–i296 (2024).

    Article  Google Scholar 

  17. Bao, Y. et al. Squigglenet: real-time, direct classification of nanopore signals. Genome Biol. 22, 1–16 (2021).

    Article  Google Scholar 

  18. Dunn, T. et al. Squigglefilter: an accelerator for portable virus detection. In Proc. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture 535–549 (ACM, 2021).

  19. Shih, P. J., Saadat, H., Parameswaran, S. & Gamaarachchi, H. Efficient real-time selective genome sequencing on resource-constrained devices. GigaScience 12, giad046 (2023).

    Article  Google Scholar 

  20. Edwards, H. S. et al. Real-time selective sequencing with rubric: read until with basecall and reference-informed criteria. Sci. Rep. 9, 11475 (2019).

    Article  Google Scholar 

  21. Sadasivan, H. et al. Rapid real-time squiggle classification for read until using rawmap. Arch. Clin. Biomed. Res. 7, 45 (2023).

    Article  Google Scholar 

  22. Mikalsen, A. J. & Zola, J. Coriolis: enabling metagenomic classification on lightweight mobile devices. Bioinformatics 39, i66–i75 (2023).

    Article  Google Scholar 

  23. Ulrich, J.-U., Lutfi, A., Rutzen, K. & Renard, B. Y. Readbouncer: precise and scalable adaptive sampling for nanopore sequencing. Bioinformatics 38, i153–i160 (2022).

    Article  Google Scholar 

  24. Senanayake, A., Gamaarachchi, H., Herath, D. & Ragel, R. Deepselectnet: deep neural network based selective sequencing for oxford nanopore sequencing. BMC Bioinformatics 24, 31 (2023).

    Article  Google Scholar 

  25. Runtuwene, L. R., Tuda, J. S., Mongan, A. E. & Suzuki, Y. in Single Molecule and Single Cell Sequencing (ed. Suzuki, Y.) Ch. 10 (Springer, 2019).

  26. Wang, Z. et al. In situ training of feed-forward and recurrent convolutional memristor networks. Nat. Mach. Intell. 1, 434–442 (2019).

    Article  Google Scholar 

  27. Yao, P. et al. Fully hardware-implemented memristor convolutional neural network. Nature 577, 641–646 (2020).

    Article  Google Scholar 

  28. Zhang, W. et al. Edge learning using a fully integrated neuro-inspired memristor chip. Science 381, 1205–1211 (2023).

    Article  Google Scholar 

  29. Wan, W. et al. A compute-in-memory chip based on resistive random-access memory. Nature 608, 504–512 (2022).

    Article  Google Scholar 

  30. Le Gallo, M. et al. Mixed-precision in-memory computing. Nat. Electron. 1, 246–253 (2018).

    Article  Google Scholar 

  31. Zidan, M. A. et al. A general memristor-based partial differential equation solver. Nat. Electron. 1, 411–420 (2018).

    Article  Google Scholar 

  32. Song, W. et al. Programming memristor arrays with arbitrarily high precision for analog computing. Science 383, 903–910 (2024).

    Article  MathSciNet  Google Scholar 

  33. Cai, F. et al. Power-efficient combinatorial optimization using intrinsic noise in memristor Hopfield neural networks. Nat. Electron. 3, 409–418 (2020).

    Article  Google Scholar 

  34. Jiang, M., Shan, K., He, C. & Li, C. Efficient combinatorial optimization by quantum-inspired parallel annealing in analogue memristor crossbar. Nat. Commun. 14, 5927 (2023).

    Article  Google Scholar 

  35. Zhang, F. et al. A 65-nm RRAM compute-in-memory macro for genome processing. IEEE J. Solid-State Circuits 59, 2093–2104 (2024).

    Article  Google Scholar 

  36. Laguna, A. F. et al. Seed-and-vote based in-memory accelerator for dna read mapping. In Proc. 39th International Conference on Computer-Aided Design 1–9 (ACM, 2020).

  37. Liu, L. et al. A reconfigurable fefet content addressable memory for multi-state hamming distance. IEEE Trans. Circuits Syst. I 70, 2356–2369 (2023).

    Google Scholar 

  38. Huangfu, W., Li, S., Hu, X. & Xie, Y. Radar: a 3D-RERAM based DNA alignment accelerator architecture. In Proc. 55th Annual Design Automation Conference 1–6 (ACM, 2018).

  39. Hanhan, R. et al. Edam: edit distance tolerant approximate matching content addressable memory. In Proc. 49th Annual International Symposium on Computer Architecture 495–507 (ACM, 2022).

  40. Jahshan, Z., Merlin, I., Garzón, E. & Yavits, L. Dash-cam: dynamic approximate search content addressable memory for genome classification. In Proc. 56th Annual IEEE/ACM International Symposium on Microarchitecture 1453–1465 (ACM, 2023).

  41. Shahroodi, T. et al. Swordfish: a framework for evaluating deep neural network-based basecalling using computation-in-memory with non-ideal memristors. In Proc. 56th Annual IEEE/ACM International Symposium on Microarchitecture 1437–1452 (ACM, 2023).

  42. Simon, W. A. et al. Cimba: accelerating genome sequencing through on-device basecalling via compute-in-memory. IEEE Trans. Parallel Distrib. Syst. 36, 1130–1145 (2025).

    Article  Google Scholar 

  43. Mao, H. et al. Genpip: in-memory acceleration of genome analysis via tight integration of basecalling and read mapping. In Proc. 2022 55th IEEE/ACM International Symposium on Microarchitecture 710–726 (IEEE, 2022).

  44. David, M., Dursi, L. J., Yao, D., Boutros, P. C. & Simpson, J. T. Nanocall: an open source basecaller for oxford nanopore sequencing data. Bioinformatics 33, 49–55 (2017).

    Article  Google Scholar 

  45. Liao, Y., Smyth, G. K. & Shi, W. The subread aligner: fast, accurate and scalable read mapping by seed-and-vote. Nucleic Acids Res. 41, e108 (2013).

    Article  Google Scholar 

  46. Liu, S., Wang, Y. & Wang, F. A fast read alignment method based on seed-and-vote for next generation sequencing. BMC Bioinformatics 17, 193–203 (2016).

    Article  Google Scholar 

  47. Das, R. & Narayanasamy, S. Systems challenges and opportunities for genomics. Computer 57, 127–135 (2024).

    Article  Google Scholar 

  48. Gamaarachchi, H., Ferguson, J. M., Samarakoon, H., Liyanage, K. & Deveson, I. W. Simulation of nanopore sequencing signal data with tunable parameters. Genome Res. 34, 778–783 (2024).

    Article  Google Scholar 

  49. Liu, S. et al. Analysis of metagenomic data. Nat. Rev. Methods Primers 5, 5 (2025).

    Article  Google Scholar 

  50. Simpson, J. T. & Durbin, R. Efficient construction of an assembly string graph using the fm-index. Bioinformatics 26, i367–i373 (2010).

    Article  Google Scholar 

  51. Berndt, D. J. & Clifford, J. Using dynamic time warping to find patterns in time series. In Proc. 3rd International Conference on Knowledge Discovery and Data Mining 359–370 (1994).

  52. Roberts, M., Hayes, W., Hunt, B. R., Mount, S. M. & Yorke, J. A. Reducing storage requirements for biological sequence comparison. Bioinformatics 20, 3363–3369 (2004).

    Article  Google Scholar 

  53. Altschul, S. F., Gish, W., Miller, W., Myers, E. W. & Lipman, D. J. Basic local alignment search tool. J. Mol. Biol. 215, 403–410 (1990).

    Article  Google Scholar 

  54. Firtina, C. et al. Blend: a fast, memory-efficient and accurate mechanism to find fuzzy seed matches in genome analysis. NAR Genom. Bioinform. 5, lqad004 (2023).

    Article  Google Scholar 

  55. Gamaarachchi, H. et al. Fast nanopore sequencing data analysis with SLOW5. Nat. Biotechnol. 40, 1026–1029 (2022).

    Article  Google Scholar 

  56. Samarakoon, H. et al. Flexible and efficient handling of nanopore sequencing signal data with slow5tools. Genome Biol. 24, 69 (2023).

    Article  Google Scholar 

  57. Li, H. Minimap2: pairwise alignment for nucleotide sequences. Bioinformatics 34, 3094–3100 (2018).

    Article  Google Scholar 

  58. Jesus, J. G. D. et al. Importation and early local transmission of COVID-19 in Brazil, 2020. Rev. Inst. Med. Trop. Sao Paulo 62, e30 (2020).

    Article  Google Scholar 

  59. Sanderson, N. D. et al. Comparison of r9.4.1/kit10 and r10/kit12 Oxford Nanopore flowcells and chemistries in bacterial genome reconstruction. Microb. Genomics 9, 000910 (2023).

    Google Scholar 

  60. He, P. Source code for the paper “Real-time raw signal genomic analysis using fully integrated memristor hardware”. Zenodo https://doi.org/10.5281/zenodo.16573985 (2025).

Download references

Acknowledgements

We thank S. Kovaka, H. Gamaarachchi and C. Firtina for their thorough explanations and insightful discussions. This work was supported in part by Research Grant Council of Hong Kong SAR (grant nos C7003-24Y, 17207925, 27210321, C1009-22GF and T45-701/22-R), National Natural Science Foundation of China (grant no. 62122005), ACCESS – an InnoHK center by ITC, the MIND project (grant no. MINDXZ202503), Croucher Foundation, and Germany/Hong Kong Joint Research Scheme (grant no. GHKU707/23).

Author information

Authors and Affiliations

Authors

Contributions

P.H., R.L. and C.L. conceived of the idea. P.H. conducted the experiments and simulations. R.M. and M.J. performed the device measurement. P.H., S.W. and C.L. analyzed data and wrote the paper. All authors discussed the results and reviewed the paper.

Corresponding authors

Correspondence to Ruibang Luo or Can Li.

Ethics declarations

Competing interests

The authors declare no competing interests.

Peer review

Peer review information

Nature Computational Science thanks Mario Lanza, Ilia Valov and Yunhao Wang for their contribution to the peer review of this work. Peer reviewer reports are available. Primary Handling Editor: Jie Pan, in collaboration with the Nature Computational Science team.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data

Extended Data Fig. 1 Next-generation sequencing technology and third-generation sequencing technology.

a, Previous high-throughput next-generation sequencing technologies relied on large devices due to optical principles. Analyzing the reads required substantial memory, often necessitating powerful servers in large laboratories. b, Current third-generation sequencing technologies have moved sequencing to the edge, utilizing current sensing principles. However, the signals are small and susceptible to noise, making analysis complex and also performed in laboratories. c, In-memory computing is a strong candidate for memory bottleneck genomic analysis. With in-memory computing hardware, such as crossbar and CAM, real-time analysis can be achieved with high speed and energy efficiency at the edge. Credit: icons, Flaticon (https://www.flaticon.com/).

Extended Data Fig. 2 Conventional nanopore analysis pipeline, and this real-time nanopore raw signal alignment work.

a, Previous works focus most on precise and digital read mapping steps. But the most computationally-intensive step basecalling is ignored. b, This work directly aligns analog raw signals to analog reference, efficiently combining the separate basecalling and read mapping.

Extended Data Fig. 3 Flowchart of the proposed memristor-based in-memory direct signal mapping method.

Steps in white boxes were performed in software, steps in the blue boxes were performed in hardware, and steps in yellow boxes were performed in software, while it can be integrated with the memristor chip in the future. a, Reference data preprocessing was carried out in software, while matrix multiplication for LSH seeds was implemented on the chip. Subsequent subtraction and Heaviside function operations were performed in software using the chip’s ADC outputs; however, future implementations could integrate comparators between adjacent columns to perform this step in hardware. b, Low-cost input data preprocessing was performed in software, and matrix multiplication for LSH and search was performed in hardware. Subtraction, Heaviside and sense amplifier (comparator) operations were processed in software using the ADC outputs from the chip, though future designs may integrate these functions directly on-chip.

Extended Data Fig. 4 Details of fuzzy seed-and-vote algorithm.

If the hashing bits are adjacent in input, they should also be adjacent in corresponding reference memory. Hashing bits can tolerate some errors; if the input signal has a small cosine distance due to noise, the output hashing bits will likely have a small Hamming distance as well. This allows the data to be hashed into the same bucket with high probability. Additionally, CAM performs approximate searches rather than exact matches. CAM uses fuzzy searches based on Hamming distance to ensure that data hashed into nearby spaces still be considered as match.

Extended Data Fig. 5 Cross-section view of the 1T1R structure.

a, Memristors were integrated on the top of M6 metallization layer. b, Schematic illustration of a single memristor.

Extended Data Fig. 6 Images of our integrated memristor chip.

Optical top-view of our crossbar array and a top-view scanning electron microscopy (SEM) image showing four tantalum oxide memristors within the array.

Extended Data Fig. 7 Simplified chip circuit overview.

Only four rows and columns are shown here, while the actual chip implements a 64 x 64 array. The chip integrates MUXs, TIAs, S&H, and ADCs. Each column is equipped with a TIA and S&H module, while every 16 columns share one ADC. The row MUX can select between Float, Vpulse, Vref, Vread, and GND; while the column MUX can choose among Float, Vpulse, Vref, GND, and a connection to TIA. When input data arrives, the scan chain sequentially loads the input data into row and column latches. These latches then feed the addresses to the row and column MUXs to enable proper array access.

Extended Data Fig. 8 Experimental programming result in relative abundance estimation experiments.

Five species reference genome are programmed in integrated memristor chip.

Source data

Extended Data Fig. 9 R10.4.1 nanopore raw signals mapping.

a, Recall, b, precision and c, F1 scores as a function of CAM threshold. We mapped 10,000 SARS-CoV-2 R10.4.1 raw signals (simulated by Squigulator) to the SARS-CoV-2 reference genome. A mapping result is considered correct if the genomic location identified by our method overlaps with the location determined by minimap2. As shown in the figure, when the CAM threshold is set to one, the hardware performs exact searching and cannot tolerate errors in nanopore sequencing signals, resulting in low recall but high precision. When the CAM threshold is set to around six, it becomes more robust to sequencing noise, improving recall while maintaining high precision. However, if the CAM threshold is too large, excessive false negatives are introduced, degrading both recall and precision. The optimal balance is achieved at a CAM threshold of six, where the F1 score reaches its peak at 99.4%.

Source data

Extended Data Fig. 10 Estimated virus abundance versus number of reads.

We simulated an artificial microbial community consisting of 10% virus (SARS-CoV-2) and 90% non-virus species (E.coli) using Squigulator with R10.4.1 chemistry. When the number of reads is very small, the estimated virus abundance shows large stochastic variation. As the number of reads increases, the estimated abundance begin to converge toward the expected value: reaching 9.50% at 1,000 reads and 10.07% at 10,000 reads.

Source data

Supplementary information

Supplementary Information

Supplementary Figs. 1–7, Note 1 and Tables 1–3.

Reporting Summary

Peer Review File

Source data

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

He, P., Wang, S., Mao, R. et al. Real-time raw signal genomic analysis using fully integrated memristor hardware. Nat Comput Sci 5, 940–951 (2025). https://doi.org/10.1038/s43588-025-00867-w

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue date:

  • DOI: https://doi.org/10.1038/s43588-025-00867-w

Search

Quick links

Nature Briefing: Translational Research

Sign up for the Nature Briefing: Translational Research newsletter — top stories in biotechnology, drug discovery and pharma.

Get what matters in translational research, free to your inbox weekly. Sign up for Nature Briefing: Translational Research