Abstract
Metalens is the next generation of optical metasurfaces for compact imaging, sensing, and display applications that allow the phase, polarization, frequency, amplitude, angular momentum, etc. of the incident light to be designed with high degrees of freedom to meet the application requirements, which has attracted broad interest in the field of planar optics. One significant challenge in implementing applications for metalens is the efficient fabrication of large-scale nanostructures with high resolution, robustness and uniform patterning. In this review, we first introduced the manufacturing techniques compatible with metasurfaces fabrication in detail, including masked lithography, maskless lithography, and additive manufacturing, discussed the limitations and provided some insights. Next, we introduced the applications of metalens from the perspective of non-imaging and imaging optics fields. Metalens can enhance the illumination effect, shape beams, and improve the energy conversion efficiency in non-imaging optics. In imaging optics, the role of metalens in replacing traditional optical components in lithography, astronomical observation, microscopic and endoscopic systems is demonstrated. Finally, the challenges that the metalens facing on the road to commercial application are discussed, and the field’s future development is prospected.
Similar content being viewed by others
Introduction
Traditional optical elements are based on the properties of light absorption, refraction and reflection, and use the accumulation of optical path in the medium to regulate the phase, amplitude and polarization of light, so the traditional optical elements are thick and heavy, and cannot adapt to the current development trend of optical components miniaturization. Therefore, the development of artificial micro/nano optical devices and materials has become the starting point for people to study light, and two major fields have been developed: metamaterials and metasurfaces.
In 1996, the Pendry team1 proposed periodic structures built of very thin metal wires, whose equivalent plasma frequency changes with the distance between metal wires and the radius of metal wires. Adjusting the equivalent plasma frequency to make it greater than the operating frequency can achieve a negative dielectric constant. Then, in 1999, the Pendry team2 proposed the split ring structure array built from nonmagnetic conducting sheets. It achieves equivalent negative permeability by changing the size parameters of its cell structure and spacing. Next, in 2000, the Smith team3 demonstrated a composite medium, the “left-handed” medium, based on split rings and wires in periodic array, which concurrently shows negative effective permeability and permittivity simultaneously in a frequency region at the microwave band. By artificially manipulating and designing materials’ optical and electromagnetic effects to guide light propagation, this idea has gradually become a reality with the development of advanced micro/nano processing techniques, and researchers began to study metamaterials4,5,6,7,8,9,10,11,12,13,14,15. Metamaterials have been widely studied in the microwave or terahertz band. However, in the optical wave band, the processing and preparation of metamaterials are complicated and high cost. Compared with metamaterials, planar artificial optical structures are relatively easy to fabricate and have lower loss in the process of interacting with light waves, so they have received extensive attention and research.
In 2011, the Capasso team16 proposed the generalized Snell’s Law, and demonstrated V-shaped antennas with diverse structural parameters to realize the regulation of the phase of linearly polarized light waves from 0 to 2π at subwavelength scale, successfully realizing the manipulation of the wavefront of transmitted light. The metasurfaces can effectively control the phase of the light wave at the subwavelength scale to control the wavefront of the light wave17,18,19,20,21,22,23,24,25,26,27,28. Subsequently, in 2012, the Capasso team29 proposed the 3D generalized Snell’s Law. In 2016, the Capasso team30 demonstrated the metalens with diffractive limit focusing and imaging with subwavelength resolution at visible wavelengths. In 2019, the Capasso team31 proposed the matrix Fourier optics for treating polarization in paraxial diffractive optics. Metalens provides a promising resolution for compact integrated optical systems, offering advantages such as reduced volume and weight, cost-effectiveness, and improved imaging capabilities. The manipulation of the structure’s shape, rotation direction, and height enables precise control over the polarization, phase, and amplitude of light. In the past decade, thanks to the advancement of micro/nano manufacturing techniques, the metalens has a high degree of design freedom, making it meet the requirements of various fields of application, including: augment reality or virtual reality32,33,34,35,36,37,38,39, holography40,41,42, microscopy and endoscopy43,44,45,46,47,48,49,50,51,52,53,54, spectroscopy55,56,57,58,59,60,61,62,63, wide field imaging64,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80, projector81, optical logic gate82, polarizer83,84, etc.
In this paper, we reviewed fabrication, non-imaging and imaging applications of metalens, and discussed the challenges and prospects in the commercialization process. In the manufacturing section, the manufacturing methods for metalens with micro/nano-structures are summarized, including two main types of lithography methods: masked lithography (photolithography, nanoimprint lithography) and maskless lithography (electron beam lithography, focused ion beam, laser direct writing). In addition, additive manufacturing techniques (fused deposition modeling, stereo lithography apparatus, two-photon polymerization) offer a new approach to personalize metalens with irregular structures that cannot be obtained through conventional etching methods. The applicable scenarios, limitations, and prospective solutions for each technique are discussed. In the application section, metalenses have an extensive range of applications in the optical field and are highly innovative. In non-imaging optics, the non-imaging optical theory of metasurfaces has been initially established. However, the framework still needs to be further enriched by using geometric optics and wave optics. Metalens can shape and direct light beams, which is very suitable for laser systems and can enhance lighting efficiency or customize the lighting area in a personalized way. The light energy capture ability of metalens can enhance photodetectors’ efficiency and improve solar cells’ energy conversion efficiency. In imaging optics, metalens can be used in lithography to support micro/nano processing, assist astronomical observations, and improve imaging quality under microscopes and endoscopes. Two-photon polymerization lithography systems based on metalens can achieve commercial-grade precision. A single metalens without additional optical components can perform telescope functions. Microscopic or endoscopic systems based on metalens can further reduce their size and are particularly suitable for in vivo imaging systems. Finally, we discussed the environmental adaptability that is necessary for the development of metalenses from the laboratory to commercialization, the inverse design methods of metalens, evaluation methods of metalens, and some applications in emerging fields. Such as unmanned aerial vehicle platforms, perception and detection in autonomous driving, quantum optics, lightsails, etc. Further, the combination with artificial intelligence may be the future development trend. In conclusion, metalens possess high degrees of optical modulation freedom that traditional optical lenses cannot match, and they also have the characteristic of being compatible with semiconductor manufacturing, allowing for integration with existing optoelectronic device designs. Thus, metalenses will drive the development of the next generation of optics.
Metalens manufacturing technique
Masked lithography
Photolithography
Photolithography, being the most extensively employed micro-/nano-manufacturing technique to date, provides technical support for manufacturing micro- and nano-structures in various fields by transferring designed patterns to photosensitive materials. Although photolithography technique is limited by diffraction effects (Moore’s Law85), it can easily complete the manufacturing of subwavelength-scale metasurfaces, thanks to the high precision, high resolution, large-scale parallel processing capability, and high automation of lithography technique. By enhancing the parameters and methods employed in the lithography process, it is possible to enhance the accuracy and resolution of lithography to fulfill the precision demands of metasurfaces manufacturing. Its massively parallel processing capabilities and compatibility with manufacturing methods for complementary metal oxide semiconductor (CMOS) processes also provide additional possibilities for metasurfaces manufacturing.
The metasurfaces manufacturing route is consistent with the traditional processes of the mature integrated circuit industry, and with the help of algorithms, there is an opportunity to achieve centimeter-scale that is not possible with conventional designs. She et al.86 used a compaction algorithm to design a large-scale (2-cm-diameter) metalens. Figure 4a illustrates the fabrication process, which is a conventional and standard top-down etching-based approach. The subsequent references’ processes with similar etching-based methods are generally the same as this schematic representation, so they will not be presented redundantly. A 0.6-μm thick amorphous silicon (a-Si) layer is deposited on a 4 in. fused silica (SiO2) wafer substrate by the plasma-enhanced chemical vapor deposition (PECVD) technique. Then, an adhesion promoter, the 1.1-μm-thick photoresist, and the 0.4-μm-thick photobleachable contrast enhancement material are spin-coated and baked successively. As shown in Fig. 2a, the photoresist is patterned using 5× reduction stepper lithography technique, throughputs of up to several hundred wafers per hour can be achieved. Then, the a-Si layer is etched using the inductively coupled plasma reactive ion etching (ICP RIE) technique to form nanostructures. Finally, the remaining photoresist is lifted-off. The final sample is shown in Fig. 1a. Furthermore, they indicated that metalens could be produced using chip manufacturing technique from approximately ten years ago, which revitalized the outdated equipment. Although the most advanced equipment still has advantages in some scenarios, it is not a necessary condition for achieving this goal. Then, Park et al.87 demonstrated an all-glass metalens of 1-cm-diameter and 0.1 numerical aperture (NA), operating at visible wavelengths. The fabrication process is as follows. A 100-nm-thick chrome (Cr) layer is deposited on a 100-mm-diameter SiO2 wafer substrate (as the hard mask). The photoresist is patterned using 4× reduction stepper lithography technique. Next, the Cr layer is etched using the ICP technique, and then the SiO2 layer is etched using the ICP technique to form nanostructures. Finally, the remaining Cr is lifted-off. The final sample is shown in Fig. 1b. As weight and drive distance increase, the force required for micro electro mechanical system (MEMS)-based centimeter-scale devices can become too great, and the required voltage can cause electrical breakdown, resulting in device failure. Colburn et al.88 took the Alvarez lens as inspiration and proposed a 1-cm-aperture, large area and focal length adjustable metalens system working in the near infrared (NIR) band by combining two independent metasurfaces. The fabrication process is as follows. A 2 μm thick silicon nitride (SiN) layer is deposited on a silicon (Si) wafer (100-mm-diameter) substrate by the PECVD technique. As shown in Fig. 2a, the photoresist is patterned using 5× reduction stepper lithography technique. A 150-nm-thick Al layer is evaporated (as the hard mask), and then the photoresist is lifted-off. The SiN layer is etched using the ICP technique to form nanostructures. Finally, the remaining Al is lifted-off. The final sample is shown in Fig. 1c. The visible metasurface needs to have sub-hundred-nanometers in size, which can be mass-produced using lithography technique.
a Photograph of the 4 fabricated 20-mm-diameter metalenses, measured by a ruler. SEM image shows the ring-arrangement a-Si nanopillars, scale bar: 2 μm. b Photograph of the 45 fabricated 10-mm-diameter metalenses on the 4 in. SiO2 wafer. c Photograph of the fully exposed and developed 100-mm-diameter wafer, showing the capability to make large area devices. d Photograph of the fabricated metasurfaces with the colored logo “IME”. e Photographs of the fabricated samples on the 12 in. glass wafer, and the central die, with a highlighted section indicating the metalens. f Photograph of the fabricated InP wafer containing 5000 metalenses. g Photograph of the entire fabricated 80-mm-diameter metalens on the 4 in. fused silica wafer, the border of the pattern stitching can be vaguely identified. h Photograph of the entire fabricated 50-mm-diameter metalens, measured by a caliper. Inset: the side view of metalens. i Photograph of the entire fabricated 100-mm-diameter metalens on the 6 in. fused silica wafer, compared with a table tennis racket. a Reprinted with permission from ref. 86. Copyright 2018 Optical Society of American. b Reprinted with permission from ref. 87. Copyright 2019 American Chemical Society. c Reprinted with permission from ref. 88. Copyright 2018 Optical Society of American. d Reprinted with permission from89. Copyright 2018 Optical Society of American. e Reprinted with permission from ref. 90. Copyright 2020 De Gruyter. f Reprinted with permission from ref. 91. Copyright 2024 Springer Nature. g Reprinted with permission from ref. 95. Copyright 2023 Optical Society of American. h Reprinted with permission from ref. 96. Copyright 2024 American Association for the Advancement of Science. i Reprinted with permission from ref. 97. Copyright 2024 American Chemical Society
a The large number of metalens’ patterns are obtained by conventional stepping method (the pattern is from single mask). b–d The large area metalens’ pattern is obtained by rotation-and-stitching method (the patterns are from serval masks). c The large area metalens’ pattern is obtained by step-and-stitching method (the patterns are from serval masks)
Hu et al.89 demonstrated a color display metasurfaces with critical dimension under 100 nm. The fabrication process is as follows. A 70-nm-thick SiN layer and 130-nm-thick a-Si layer are deposited successively on a 12 in. silicon wafer substrate by the PECVD technique. As shown in Fig. 2a, the photoresist is patterned using the ArF immersion lithography technique, and the a-Si layer is etched using the ICP technique to form nanostructures. Finally, the remaining photoresist is lifted-off. The reflectance spectra exhibit experimental observations of metasurfaces resonating at wavelengths of 675 nm, 570 nm, and 420 nm. This leads to the display of letters “I”, “M” and “E” in red, green, and blue colors respectively as depicted in Fig. 1d. The detection and handling of glass wafers pose challenges for lithography and etching tools compared to silicon wafers. Therefore, employing a layer transfer process can effectively address this concern. Then, Hu et al.90 demonstrated a 2-mm-diameter metalens working at 940 nm for fingerprint imaging. The fabrication process is as follows. A 1-μm-thick SiO2 layer and 600-nm-thick a-Si layer are deposited successively on a 12 in. Si wafer substrate by the PECVD technique. As shown in Fig. 2a, the photoresist is patterned using ArF immersion lithography technique, and the a-Si layer is etched using the ICP technique to form nanostructures. Next, a siloxane interlayer with a thickness of 60 μm is applied onto the patterned Si wafer, which is then bonded to a 12 in. glass wafer. Subsequently, the Si wafer is subjected to grinding and polishing on its reverse side to reduce the thickness of the wafer to approximately 20 μm. The remaining Si is etched by wet etching technique until etched to the SiO2 layer (as the stopping layer). The final sample is shown in Fig. 1e. Compared to SiO2, silicon nitride (Si3N4) exhibits a comparable transmission bandgap while exhibiting an elevated refractive index. Additionally, the compatibility of Si3N4 with indium phosphide (InP) foundry processes enables the potential advancement in downsizing optical devices through their integration with metalenses on InP platforms. De Vocht et al.91 developed a fabrication process for metalens that eliminates the need for metal hard masks. They demonstrated a broadband achromatic metalens with a reduction of chromatic aberration by 40%. The fabrication process is as follows. A 2-μm-thick SiO2 layer and a 750-nm-thick Si3N4 layer are deposited successively on the 3 in. InP wafer substrate. An anti-reflection coating (ARC) layer, a photoresist layer and an ARC layer are spin-coated and baked successively. As shown in Fig. 2a, the photoresist is patterned using the 4× reduction stepper lithography technique. The Si3N4 layer is etched using the ICP RIE technique to form nanostructures. Finally, the remaining photoresist and ARC are lifted off. The final sample is shown in Fig. 1f, which contains 5000 metalenses.
Metalenses that operate in the visible and NIR bands are mostly manufactured on SiO2 substrates. However, there is a notable absorption loss in the mid-infrared (MIR) observed in SiO2. Similarly, substrates like Si are susceptible to reflection losses. Therefore, an innovative manufacturing method is needed to manufacture metasurfaces that work in the MIR. Leitis et al.92 developed a method to overcome the challenge of manufacturing MIR metasurfaces due to the limited selection of materials with suitable optical properties. The proposed technology utilizes CMOS processes to fabricate metasurfaces on Si wafers, enabling the production of optically transparent aluminum oxide (Al2O3) membranes with DUV lithography. These nanoscale membranes, approximately 100-nm-thick, possess excellent transmission properties and an effective refractive index that closely approximates unity across a wide range of MIR wavelengths (from 2 to 20 μm). A single metalens on a sensor is difficult to achieve a large field of view (FOV), Hu et al.76 demonstrated a metalens array integrated with sensor for large FOV microscope. They fabricated the metalens array using one metalens mask through a standard Si nanofabrication process based on the 4× reduction stepper lithography technique. They proposed a mask compensation method, specific to the lithography machine used, by making a grating sample, comparing its feature size to the design value, and optimizing the mask design to compensate for the difference. This improves manufacturing quality and reduces the average size deviation (<30 nm) between designed and manufactured devices. In mask design, optical proximity correction93 can further improve accuracy. While dielectric metalens exhibit excellent transmission efficiency, the fabrication of flexible or multilayer devices poses significant challenges. Yang et al.94 proposed a multilayer metalens. They employ the technique of multilayer lithography to manufacture the metalens integrated with three metasurfaces, comprising three aluminum (Al) layers, each having a thickness of 200 nm, and interspersed by two polyimide (PI) layers with 50 μm in thickness. In addition, a 10-μm-thick top PI layer and a 10-μm-thick bottom PI layer are added as a protective layer. The final sample consists of four flexible PI layers and three Al layers. The metalens constructed on a metal-dielectric-metal sandwich architecture demonstrates a quadratic phase distribution.
It is challenging to manufacture large-scale metasurfaces with scanning-based lithography, but by combining multiple exposures with pattern stitching and rotation, it is possible to achieve this. Zhang et al.95 successfully designed a metalens telescope system based on an 80-mm-aperture metalens that exhibits exceptional efficiency for capturing celestial images. The metalens is fabricated by multiple-exposure processes with lithography, which involves the rotation-and-stitching of the pattern, as shown in Fig. 2b. The fabrication process is as follows. A 1-μm-thick a-Si layer is deposited on 4 in. fused silica wafer substrate. A 60-nm-thick ARC layer and 600-nm-thick photoresist layer are spin-coated and baked successively. Using 4 masks (each mask containing 4 patterns), a total of 16 patterns, the photoresist is patterned using the rotation-and-stitching method by the 4× reduction stepper lithography technique. After the ARC layer is removed using the oxygen plasma technique, the a-Si layer is etched using the ICP RIE technique to form nanostructures. Finally, the remaining photoresist and ARC are removed by the oxygen plasma technique. The final sample is shown in Fig. 1g, the border of the pattern stitching can be vaguely identified. The conventional MIR lenses have encountered various obstacles that hinder the extensive utilization of infrared thermography, such as limitations in aperture size, lens temperature, and the need for supplementary filtering. Hou et al.96 demonstrated a metalens-based thermographic camera using a metalens with a large 5-cm-aperture. They devised a method called “multi-reticle joint stepper lithography” to delineate the metalens pattern using nine masks. This concurrent stitching process is synchronized with the exposure of each mask, enabling simultaneous generation of multiple metalens patterns, as shown in Fig. 2c. The fabrication process is as follows. A photoresist is spin-coated and baked on a 6 in. Si wafer substrate. The photoresist is patterned by the stepper lithography technique. Nine different masks are employed to reveal nine distinct patterns. Simultaneously, the alignment marker ensures accurate positioning and connection of these patterns, creating four comprehensive metalens designs. The Si layer is etched by the ICP technique to form nanostructures. Finally, the remaining photoresist is lifted off. The final sample is shown in Fig. 1h, measured by a caliper. Park et al.97 demonstrated an all-glass metalens with a diameter of up to 100 mm for imaging the cosmos, composed of 18.7 billion nanostructures. The 100-mm-diameter area is divided into a grid comprising 25 square sections, arranged in a pattern of 5 × 5. By utilizing the rotational symmetry of the metalens, it can effectively represent these 25 sections using only seven distinct sections: one positioned at the center of the metalens and six others replicated at four different rotation angles. As a result, only seven photomasks are required for this purpose, as shown in Fig. 2d. The fabrication process is as follows. A 150-nm-thick Al layer is deposited on a 6 in. fused silica wafer substrate by the electron beam evaporation (EBE) technique. A 62-nm-thick ARC layer, a 600-nm-thick photoresist layer are spin-coated and baked successively. The photoresist is patterned by the 4× reduction stepper lithography technique to form the alignment marks. The underlying Al layer is exposed by employing the RIE technique to etch the ARC layer, followed by a wet-etch technique that removes approximately 120-nm-thick of the Al layer. This ensures compliance with the phase-contrast detection system requirements within the lithography system. The remaining photoresist and ARC are removed by the oxygen plasma technique. After the alignment marks are fabricated. A 62-nm-thick ARC layer and a 500-nm-photoresist layer is spin-coated on the wafer with alignment marks, and baked successively. Using the seven masks, the photoresist is patterned using the rotation-and-stitching method by the above lithography technique. The ARC layer is etched by the ICP RIE technique to expose the underlying Al layer. Then the Al layer is etched by the ICP RIE technique as the hard mask. The wafer is subjected to the plasma treatment downstream technique, which effectively removes any remaining photoresist and ARC, leaving behind only the patterned Al. Then, the SiO2 layer is etched by the ICP RIE technique to form 1.5-μm-tall nanostructures. The remaining Al is removed using the ICP RIE technique. The final sample is shown in Fig. 1i, compared with a table tennis racket.
Nanoimprint lithography
Currently, the development of lithography equipment has reached a bottleneck due to the diffraction limit of ultraviolet light wavelengths and the standing wave effect, which has limited the photolithography accuracy. Based on this, a new technique is proposed. The nanoimprint lithography (NIL) is proposed by Chou et al.98 in 1995, and since then, many scholars have conducted extensive research on the subject. In essence, it is the filling process of liquid polymers into template structure cavities and the demolding process of solidified polymers, so its resolution is only related to the template size and is not limited by the wavelength of light, the NA of the objective lens, the focusing system, etc. It has broken through the resolution limit of traditional optical exposure lithography processes. Additionally, NIL lithography technique has advantages such as high aspect ratio (AR), high efficiency, low cost, and high output.
At first, in the metasurface manufacturing process, nanoimprint technique was only used to pattern photoresist. In 2014, Yao et al.99 demonstrated a high-contrast grating (HCG). The fabrication process is as follows. To create a 2D hole-array Si master, they employ the interference lithography technique to produce a 1D periodic grating Si master. Subsequently, a flexible Polydimethylsiloxane (PDMS) mold is replicated from it. The photoresist on a Si substrate is imprinted twice in orthogonal directions using the PDMS mold. Follow by Cr deposited, photoresist lifted off, Si etched to form the 2D hole and Cr lifted-off. Then another 2D PDMS mold is duplicated from the 2D hole-array Si master. A titanium dioxide (TiO2) layer is deposited on a SiO2 substrate by the magnetron sputtering technique. The photoresist on the TiO2 layer is imprinted using the 2D PDMS mold. Follow by Cr deposited, photoresist lifted off, TiO2 layer and SiO2 layer etched to form the nanostructures and Cr lifted-off. The final sample is shown in Fig. 3h. Next, in 2016, Yao et al.84 presented a stacked metasurface design that exhibits high-contrast asymmetric transmittance in the visible-to-infrared wavelength range specifically for horizontally polarized light. The fabrication process is as follows. The 1D periodic grating Si master is fabricated by interference lithography technique, from which the mold is duplicated. A 190 nm high 1D Al grating is patterned on the SiO2 substrate, then a 355-nm-thick resist layer is spin-coated and cured as the buffer layer. A 510-nm-thick silicon nitride (SiNx) layer is deposited on the buffer layer by the PECVD technique. The photoresist on the SiNx layer is imprinted using a 1D hybrid grating mold. Follow by Cr deposited, photoresist lifted off, SiNx etched to form the nanostructures and Cr lifted-off. The final sample is shown in Fig. 3i. Then, nanoimprint molds are used to transfer the patterned nanostructures. In 2018, Lee et al.100 demonstrated a 20-mm-diameter transparent metalens with 0.61NA. The fabrication process is as follows. The Si master is fabricated by the electron beam lithography (EBL) technique, from which the polyurethane-acrylate (PUA) mold is duplicated. A 5-nm-thick gold (Au) layer, a 25-nm-thick Cr layer, and a 10-nm-thick SiO2 layer are deposited successively on the PUA mold by the EBE technique. A layer of polycrystalline silicon (p-Si) with a thickness of 100 nm is applied onto the quartz wafer substrate through the utilization of low-pressure chemical vapor deposition (LPCVD) technique. Subsequently, an adhesive layer with thickness of 10 nm is spin-coated onto the surface. The PUA mold with Au, Cr, and SiO2, is attached to the quartz substrate using adhesive in combination with p-Si. Following this step, pressure is applied to aid in transferring the deposited substances. The p-Si layer is etched to form the nanostructures (the transferred Cr patterns is used as the hard mask). Finally, the Cr is lifted off and the metalens is cleaned.
a Schematic of the fabrication process of facile nanocasFabrication methods and images of fabricated metalenses via the NIL technique.Fabrication methods and images of fabricated metalenses via the NIL techniqueFabrication methods and images of fabricated metalenses via the NIL techniqueting. b Schematic of the fabrication process based on nanocomposite material. c Schematic of the fabrication process with stamp fabrication (spin coating and thermal cure) and imprinting (spin coating, stamp placement, UV cure and stamp release) to produce tens of thousands of devices. d Schematic of the fabrication process based on the ALD technique. e Schematic of the fabrication process for twisted bilayer metadevice, the top layer is reversal nanoimprinted onto the bottom layer with a twist angle. f Schematic of the fabrication process based on wet etching technique. g Schematic of the fabrication process with tape-assisted, which enables duplication of residual layer-free meta-atoms. h SEM image shows the fabricated high-contrast grating with the TiO2-SiO2 bilayer nanopillars. i SEM image shows the fabricated meta-polarizer with SiNx metagrating, buffer layer, and Al metagrating. j SEM image shows the fabricated metalens with high AR TiO2 PER nanopillars with different sizes. k SEM image shows the cross-section of the fabricated bilayer metadevice with an intermediate layer. Photographs of replicated metasurfaces on various substrates: glass substrate (l), curved substrate (m), and flex substrate (n). Inset: the top view of metasurfaces. Photographs of replicated metalenses on various substrates: glass substrate (o), flex substrate (p), convex substrate (q), and concave substrate (r). a, l–n Reprinted with permission from ref. 101. Copyright 2019 American Chemical Society. b Reprinted with permission from ref. 103. Copyright 2021 American Chemical Society. c–j Reprinted with permission from ref. 104. Copyright 2021 American Chemical Society. d Reprinted with permission from ref. 105. Copyright 2023 Springer Nature. e–k Reprinted with permission from ref. 107. Copyright 2023 De Gruyter. f, o–r Reprinted with permission from ref. 108. Copyright 2023 Springer Nature. g Reprinted with permission from ref. 112. Copyright 2025 John Wiley and Sons. h Reprinted with permission from ref. 99. Copyright 2014 American Vacuum Society. i Reprinted with permission from ref. 84. Copyright 2016 Optical Society of America
Conventional NIL technique often necessitates additional processes like deposition and etching, leading to decreased productivity, limited substrate compatibility, and reduced price competitiveness. In 2019, Kim et al.101 introduced a cost-effective and efficient nanocasting method for producing dielectric metasurfaces, eliminating the need for additional processes. This basically laid the foundation for the current mainstream method of nanoimprint metasurfaces. Figure 3a shows the fabrication process. A hard-polydimethylsiloxane (h-PDMS) mold is replicated from the master, achieving sub 100 nm replication resolution. Then, a PDMS layer is coated on the h-PDMS layer as the buffer layer. A layer of particle-embedded resin (PER) is spin-coated onto the h-PDMS mold to form the nanostructures, the PER is a low-loss material, which consists of TiO2 nanoparticles (NPs) and the ultraviolet (UV) curable resin. Then the h-PDMS mold is placed PER-side down on the glass substrate. Pressure and UV exposure are applied to the transfer process. Finally, the h-PDMS mold is removed smoothly. The refractive index of the PER layer is sufficiently high, which makes it a suitable candidate for metasurface structuring without requiring etching or deposition procedures. Moreover, this method can be applied to various substrates such as glass substrate (Fig. 3l), curved substrate (Fig. 3m), and flex substrate(Fig. 3n). Then, Yoon et al.102 used a method similar to the above to fabricate a metalens. The difference is that the PER is dropped on the glass substrate instead of spin-costing on the mold.
In 2021, Yoon et al.103 demonstrated a novel demolding processes, that is, applying a self-assembled monolayer (SAM). Figure 3b shows the fabrication process. A liquid-phase SAM is coated on the master to facilitate smooth demolding processes. Next, a h-PDMS mold is produced by replicating the master, followed by applying a PDMS layer as a buffer on top of the h-PDMS layer. A layer of Si nanocomposite is spin-coated onto the h-PDMS mold to form the nanostructures. Then the h-PDMS mold is placed upside down on the glass substrate. Pressure and heat are applied to the transfer process. Finally, the h-PDMS mold is removed. The presence of TiO2 as a photooxidation catalyst can induce the yellowing of the carbon content within the polymeric matrix, resulting in enhanced absorption and reduced efficiency of the metalens. Einck et al.104 demonstrated a 0.2NA metalens. Figure 3c shows the fabrication process. The Si master is fabricated by the EBL technique, from which the h-PDMS mold is duplicated. A PDMS layer is coated on the h-PDMS layer as the stress dissipation layer. A layer of TiO2-based nanoparticle inks (The UV-assisted curing process of the NIL ink utilizes the photocatalytic properties of TiO2, leading to the formation of an inorganic substance) is spin-coated on a Si substrate. Then the h-PDMS mold is placed upside down on the glass substrate, and pressure and UV are applied to form the nanostructures. Finally, the h-PDMS mold is removed. The final sample is shown in Fig. 3j, indicated that a high AR up to 7.8 for the imprinted nanopillar.
In 2023, Kim et al.105 demonstrated the efficient mass manufacturing of 1-cm-aperture metalenses by using the immersion lithography technique to manufacture the master plate. Additionally, by depositing a thin metallic oxide film, such as TiO2, onto the surface of the resin nanostructures, the conversion efficiency can be enhanced. Figure 3d shows the fabrication process. The 12 in. Si master is fabricated by the ArF immersion lithography technique, which has 669 dies of a 1-cm-diameter metalens patterns, from which the h-PDMS mold is duplicated. A layer of resin is spin-coated on the h-PDMS mold to form the nanostructures, and then the h-PDMS mold is placed upside down on the glass substrate. Pressure and UV exposure are applied to the transfer process, and the h-PDMS mold is removed smoothly. Finally, a thin film of TiO2 is then coated onto the nanostructures by the atomic layer deposition (ALD) technique. Through the 12 in. mold imprinting, a high resolution enables a 75-nm-wide critical dimension and a 40-nm-gap critical dimension. The transfer yield of metalenses from a 4 in. wafer is high at 95%, but there is a reduction in yield as the size of the wafer increasing. To achieve a high AR structure with injection molding process can realize reproducible metalens with high throughput. Ishii et al.106 introduced an advanced method for replicating high AR nanostructures in metalenses. This process involved utilizing nickel (Ni) molds and injection molding to create multi-level nanopillars. The fabrication process is as follows. A mold is duplicated from the master, then a layer of hybrid polymer is spin-coated on the glass substrate, and then the mold placed on the glass substrate. Pressure and UV exposure are applied to form the nanostructures, and the mold is removed smoothly. A Ni layer is deposited on the nanostructured glass substrate by conformal deposition and electroplating technologies to form a Ni stamper. Subsequently, the prepared Ni stamper is removed from the glass substrate. They used an injection molding machine and Ni stamper to fabricate a metalens, thermoplastics (polycarbonate and Iupizeta) as the material. It is challenging to design a metasurface using low-refractive index materials, such as the commonly used transparent polymer material known as SU-8 photoresist. Chen et al.107 demonstrated a bilayer metadevice with relative twist angles. The fabrication process is shown in Fig. 3e. A 180-nm-thick SU-8 layer is coated and baked on a 0.5-mm-thick glass slide (as the adhesive layer). Then, a 350-nm-thick SU-8 layer is coated on the sample and baked, and it is imprinted with the silane-coated IPS mold under pressure and UV exposure. The IPS mold is demolded resulting in nanohole array with depth of 280 nm, the bottom layer is fabricated. Next, a 350-nm-thick SU-8 layer is coated on a silane-coated IPS mold. This SU-8 IPS mold is upside-down and placed on the bottom layer, with a rotation angle of 64.4°. The transfer process involves the application of pressure and exposure to UV, which effectively enhances the bonding between the top layer and the bottom layer, resulting in top layer with nanohole array (280-nm-depth). Finally, the IPS mold is removed. Figure 3k shows the cross-section of the fabricated bilayer metadevice with an intermediate layer. The conventional NIL technology employing the h-PDMS mold unavoidably induces shear stress on the nanostructures, leading to detrimental structural impairment. Choi et al.108 proposed a new wet etching NIL method without a demolding process. Figure 3f shows the fabrication process. The Si master is fabricated by the EBL technique, and then a liquid-phase SAM is coated on the master to facilitate smooth demolding processes. Then the water-soluble polyvinyl alcohol (PVA) mold is duplicated form the master. The TiO2 PER is spin-coated on the PVA mold, and then the mold placed upside on the pretreated substrate. Pressure and UV exposure are applied to the transfer process. Finally, the mold is removed by including deionized (DI) water wet etching technology, wet etching of the PVA mold does not apply any external pressure to the nanostructure. Furthermore, this method is compatible with various substrates, including glass substrate (Fig. 3o), and flex substrate (Fig. 3p), convex substrate (Fig. 3q), and concave substrate (Fig. 3r).
In 2024, Park et al.42 proposed a new fabrication method for fabricating metasurfaces using the stepper lithography and the NIL technique to overcome the high cost and mass production limitations of EBL technology. The fabrication process is as follows. The 8 in. Si master is fabricated by the ArF stepper lithography technique, containing 266 metasurfaces, from which the h-PDMS mold is duplicated. The TiO2 PER is spin-coated on the h-PDMS mold, and then the mold placed upside down on an 8 in. glass substrate. Pressure and heat are applied to the transfer process. Finally, the h-PDMS mold is removed from the glass substrate. The results demonstrate the production of practical metasurfaces using low-cost and high-throughput processes. Kim et al.109,110 used the above method to mass-produce highly efficient, 1-cm-diameter, UV metalenses with 0.2NA. The fabrication process is as follows. The 8 in. Si master is fabricated by the ArF stepper lithography technique, from which the h-PDMS mold is duplicated. A PDMS layer is coated on the h-PDMS layer as the buffer layer. The UV curable resin is spin-coated on the h-PDMS mold, and then the mold placed resin-side on the 8 in. SiO2 substrate. Pressure and UV exposure are applied to the transfer process, and then the h-PDMS mold is removed from the SiO2 substrate. Finally, A zirconium dioxide (ZrO2) thin film is deposited on the nanostructures using the plasma enhanced atomic layer deposition (PEALD) technique to improve conversion efficiencies significantly. TiO2 suffer from high absorption losses in the UV region, leading to significant optical losses, reducing the overall efficiency of the optical devices. Kang et al.111 proposed using ZrO2 PER instead of TiO2 PER. ZrO2 PER is transparent and exhibits a refractive index of approximately 1.8 at 320 nm, suitable for operating in the UV band.
Most studies on PER-NIL have primarily focused on investigating suitable materials to improve the efficiency of imprinted metasurfaces. However, the challenge of PER-NIL is the high-index residual layer that remains on the substrate, which introduces unwanted noise and restricts the efficiency and functionality of the imprinted samples. In 2025, Park et al.112 proposed a novel method named tape-assisted PER-NIL, achieving one-step removal of the residual layer using a tape. The ideal PER concentration and the tape suitable for the residual layer removal process are determined to establish a stable transfer scheme, that is, particle size of 30 nm TiO2 PER (weight ratios of 60%) and polyethylene tape. Figure 3g shows the fabrication process. The Si master is fabricated using the EBL technique. The soft mold (toluene-diluted PDMS) is duplicated from the master, then the TiO2 PER is coated on the soft mold. The tape is attached and detached from the PER-filled soft mold to remove the residual layer uniformly. This process is repeated until the soft mold appears clean. PER-filled soft mold is pressed onto the substrate the pretreated substrate. Pressure and UV exposure are applied to the transfer process. Finally, the soft mold is gently removed. Tape-assisted PER-NIL demonstrates promising applications in the manufacturing of dielectric structural color or hologram metasurfaces. The imprinted structural color metasurfaces feature a sharp single reflectance peak that cannot be achieved using conventional NIL. The imprinted hologram metasurfaces produce high-quality images over a wide wavelength range, outperforming traditional methods.
Two main techniques of masked lithography for fabricating metalenses or optical metasurfaces are reviewed. Without the need for mass production, using mature photolithography to manufacture research metalenses is relatively cost-effective, because the conventional lithography technique has semiconductor-level accuracy and the cost is lower than the price of the EBL technique. The further expansion of existing DUV lithography equipment to the broader research market will take some time to settle until most research teams can afford it. This will encourage more research to take full advantage of these devices. For the large-area metalens using multiple masks, higher precision mark alignment is also a research direction that needs to be overcome, as well as the coincidence and transition of pattern boundaries. For metalenses that need to be mass-produced, especially for future commercial applications on a large number of consumer-grade devices, the use of nanoimprint lithography is fairly cost-effective, because only using one master to imprint could obtain multiple metalenses in a short time. Further research is needed on the abrasion of nanostructures on the master plate and the removal of residual polymers after each imprint, and also on how to integrate with existing die stamping equipment for standardized production. In addition, metasurfaces can be manufactured by the self-assembly lithography technique113,114,115,116,117,118,119,120, the printed circuit technique121,122,123, the decal transfer technique124, the nano-skiving technique125,126, and the align-bond-peel technique127.
Maskless lithography
The precision of processing surface micro/nano-structures by the conventional photolithography technique mainly depends on the accuracy of the mask plate. Although the processing method of high-precision mask plates is relatively mature, the preparation of mask plates requires equipment such as mask graphic data processing systems, optical graphic generators, mask resist coaters, mask developers, and mask duplicators. The process is highly complex and costly. Maskless lithography techniques such as electron beam lithography, focused ion beam lithography, and laser direct writing are attracting increasing attention from researchers.
E-beam lithography
Electron-beam lithography (EBL) is a maskless lithography that uses very short-wavelength focused electrons to directly act on the surface of electron-sensitive photoresist to draw micro/nano-structures that match the design pattern. The electron beam lithography system has ultra-high resolution and flexible patterning advantages. Still, due to low exposure efficiency and complex control, the EBL technique is more commonly used in producing lithography masks, advanced principal prototypes and nanoscale scientific research and development. Manufacturing metasurfaces using the conventional top-down etching-based method generally uses the EBL technique to define the pattern, as shown in Fig. 4a. Deposition, etching, lift-off and other processes are required, which introduce manufacturing defects. Figure 4b,c shows a novel bottom-up deposition method for manufacturing metasurfaces, it will be explained in detail in the subsequent content.
a the conventional top-down etching-based method and (b) the novel bottom-up deposition method (nanostructures are defined using patterned EBR), no proportional relationship. c Fabrication process for freestanding bilayer metasurfaces. Reprinted with permission from ref. 140. Copyright 2025 Springer Nature
The EBL technique is based on scanning, which makes it possible to define a variety of complex patterns. Wang et al.128 proposed a design principle to achieve achromatic metasurfaces and presented a broadband achromatic metalens that operating in the NIR region. This metalens can concentrate light of various wavelengths at the same focal plane. The fabrication process is as follows. A 150-nm-thick Au layer and a 3-nm-thick Cr film are deposited successively on the Si substrate by the EBE technique, and then a 60-nm-thick SiO2 layer is deposited by the PECVD technique. A 100-nm-thick EBR layer is spin-coated and baked, and then the EBR is patterned using the EBL technique. A 3-nm-thick Cr film and a 30-nm-thick Au layer are deposited successively on the patterned substrate. Finally, the remaining EBR is lifted off. The final sample is shown in Fig. 5a. The EBL technique can also be used to fabricate metagrating structures arranged symmetrically at the center129. Yao et al.130 demonstrated a nonlocal metalens. The fabrication process is as follows. A 327-nm-thick a-Si layer and a 22-nm-thick Cr layer (as the hard mask) are deposited successively on the SiO2 substrate by the EBE technique. An 80-nm-thick PMMA layer is spin-coated and baked on the sample, and then the PMMA is patterned by the EBL technique. The Cr and a-Si layers are successively etched by the ICP technique to form the nanostructures. Finally, the remaining Cr is removed. The final sample is shown in Fig. 5b, which consists of crescent-moon-like nanostructures.
a SEM image of the metalens with different Au metaatoms. b SEM image of the fabricated metalens with crescent-moon-like nanostructures (integrated-resonant unit) with different sizes. c SEM image of the fabricated metalens with complementary GaN nanofins with different orientations, scale bar: 10 μm. d SEM image of the fabricated metalens with complementary GaN nanofins with different orientations. e SEM image of the fabricated metaform mirror with Ag nanostructures with different sizes. f Photograph of the fabricated 280-mm-diameter nanoimprint master on the 300-mm-diameter Si wafer, compared with a 1€ coin. g SEM image of the fabricated metahologram with high AR TiO2 nanofins with different orientations. h SEM image of the fabricated metalens with high AR TiO2 nanofins with different orientations. i SEM image of the fabricated metalens with high AR TiO2 nanopillars with different sizes, scale bar: 600 nm. j SEM image of the fabricated metalens with high AR TiO2 nano-fishnets with different sizes. k SEM image of the fabricated metasurfaces with bilayer high AR TiO2 nanofins with different orientations. l SEM image of the fabricated metalens with cavity bilayer Al nanorods with different orientations, scale bar: 300 nm. m SEM image of the fabricated metasurface with high AR resist nanofins. n SEM image of the fabricated metasurface with resist gratings. a Reprinted with permission from ref. 128. Copyright 2017 Springer Nature. b Reprinted with permission from ref. 130. Copyright 2024 Springer Nature. c Reprinted with permission from ref. 131. Copyright 2018 Springer Nature. d Reprinted with permission from ref. 132. Copyright 2019 Springer Nature. e Reprinted with permission from ref. 135. Copyright 2021 American Association for the Advancement of Science. f Reprinted with permission from ref. 136. Copyright 2023 Society of Photo-Optical Instrumentation Engineers. g Reprinted with permission from ref. 137. Copyright 2016 National Academy of Sciences. h Reprinted with permission from ref. 30. Copyright 2016 American Association for the Advancement of Science. i Reprinted with permission from ref. 138. Copyright 2016 American Chemical Society. j Reprinted with permission from ref. 139. Copyright 2020 Springer Nature. k Reprinted with permission from ref. 140. Copyright 2025 Springer Nature. l Reprinted with permission from ref. 141. Copyright 2020 Springer Nature. m Reprinted with permission from ref. 142. Copyright 2020 American Chemical Society. n Reprinted with permission from ref. 143. Copyright 2023 Chinese Laser Press
The hard mask layer not only acts as a medium for graphic transfer but also protects the underlying material. In the etching process, the underlying material may be physically or chemically damaged. These damages can be effectively reduced by adding one or more hard mask layers. Wang et al.131 demonstrated a broadband achromatic metalens operating in the visible region. The fabrication process is as follows. An 800-nm-thick undoped gallium nitride (GaN) layer is deposited on the sapphire substrate by the metal organic chemical vapor deposition (MOCVD) technique. Then, a 400-nm-thick SiO2 layer is deposited by the PECVD technique. A 100-nm-thick EBR layer is spin-coated and baked, and then the EBR is patterned using the EBL technique. Next, A 40-nm-thick Cr layer is deposited by the EBE technique (as the hard mask). Then, the remaining EBR is lifted off, and the SiO2 is etched by the RIE technique to transfer patterns (as the hard mask). The GaN layer is etched by the ICP RIE technique to form the nanostructures. Finally, the remaining SiO2 is removed. The final sample is shown in Fig. 5c, where well-defined nanofins and their inverse structures are obtained. Lin et al.132 demonstrated a full-color light-field achromatic camera based on a metalens array that can capture light-field information. The fabrication process is the same as that of131. The final sample is shown in Fig. 5d. Fan et al.133 demonstrated an algorithm-generated metalens for generating a side lobe suppressed, considerable depth of focus light sheet. The fabrication process is the same as the above fabrication process. Wang et al.134 developed a top-down etching-based method for the fabrication of TiO2 nanopillars, exhibiting unprecedented AR with pillar heights reaching 1.5 μm and vertical sidewalls at approximately 90°.
The EBL technique process on substrates with nonplanar surfaces poses significant difficulties due to the inherent constraint of electron beams’ limited depth of focus. Nikolov et al.135 successfully created the metaforms, which combine the advantages of freeform optics and metasurfaces into a unified optical element. The fabrication process is as follows. A 120-nm-thick silver (Ag) layer (as the ground layer) and a 75-nm-thick SiO2 layer are deposited successively on the toroid substrate by the EBE technique, the concave toroid area of the toroid substrate spanning a 6-mm-diameter circular aperture. A EBR bilayer containing the 60-nm-thick bottom layer (PMMA 495) and the 80-nm-thick top layer (PMMA 950) is spin-coated on the SiO2 layer. Adopting a focal zone splitting method, the EBR bilayer is patterned by the EBL technique. Next, an Ag layer is deposited on the sample by the EBE technique to form the nanostructures. Finally, the remaining PMMA is lifted off. The final sample is shown in Fig. 5e. The metagrating is constructed on a metal-dielectric-metal (Ag-SiO2-Ag) sandwich architecture, and covers the metaforms area of 2 × 1.5 mm.
The EBL technique may be able to manufacture large-scale metasurfaces. Zeitner et al.136 demonstrated the potential of utilizing the character projection (CP) EBL writing mode for efficient fabrication of optical nanostructures over large areas. This approach proves particularly advantageous when producing metasurfaces consisting of repetitive unit cells. A 280-mm-diameter nanoimprint master is fabricated on a 300-mm-diameter Si-wafer by the CP-based EBL technique. This master incorporates metagrating, consisting of densely packed arrays of dots with varying diameters and pitches. The final sample is shown in Fig. 5f. The minimum feature size is set at 100 nm, accompanied by a pitch of 200 nm. By employing the CP-based EBL technique, it becomes possible to significantly increase writing speed, surpassing previous methods by several orders of magnitude. Consequently, this CP-based EBL process emerges as the sole viable technology for efficiently realizing such large metasurfaces within an acceptable timeframe.
Achieving high AR nanostructures using conventional top-down dry etching method can pose challenges and potentially result in heightened roughness along the sidewalls. The Capasso team137 developed a novel method for fabricating metasurfaces with high AR based on the ALD technique. ALD is a process that naturally limits itself, ensuring the film thickness is controlled with precision and uniform coverage. Figure 4b shows the novel fabrication process. An adhesion promoter layer and 600-nm-thick EBR layer are spin-coated and baked on the fused silica substrate successively. A 10-nm-thick Cr film is coated on the sample by the EBE technique to mitigate charging effects that may occur during the writing process. The EBR is patterned using the EBL technique to determine the geometrical characteristics of the nanostructures. Next, a TiO2 film is coated on exposed surfaces until all features are entirely filled with TiO2 by the ALD technique. Notably, initial TiO2 deposition via the ALD technique conformally coats the sidewalls and top of the EBR and exposed substrate. The total TiO2 film thickness required is not less than half of the maximum width of all gaps. In practice, it is recommended that the TiO2 thickness be well beyond the minimum requirement to ensure that TiO2 entirely diffuses into all pores and there are no voids in the final nanostructure. Then the TiO2 layer is etched until the underlying EBR is exposed by the RIE technique. Finally, the remaining EBR is removed. The final sample is shown in Fig. 5g. Three metaholograms are designed to demonstrate the efficiency of the metasurfaces fabricated by this process. The metaholograms have efficiencies of 82%, 81%, and 78% at wavelengths of 480 nm, 532 nm, and 660 nm respectively. Then, the Capasso team30 demonstrated three high AR metalenses with 0.8NA at visible wavelengths. The fabrication process is the same as that of137, high AR nanostructures with near 90° vertical sidewalls are obtained rather than the top-down etching process. The final sample is shown in Fig. 5h. Experimental characterization demonstrates that the 3 metalenses, with same 240-mm-diameter and a 90 mm focal length, have focusing efficiencies of 86%, 73%, and 66% with corresponding wavelengths of 405 nm, 532 nm, and 660 nm. Next, the Capasso team138 demonstrated three metalenses with 0.6NA and another three metalenses with 0.85NA at visible wavelengths via the above fabrication process. The final sample is shown in Fig. 5i. Experimental characterization demonstrates that the three metalenses, with the same 0.6NA, have focusing efficiencies of 30%, 70%, and 90% with corresponding wavelengths of 405 nm, 532 nm, and 660 nm. The another three metalenses, with the same 0.85NA, have focusing efficiencies of 33%, 60%, and 60% with corresponding wavelengths of 405 nm, 532 nm, and 660 nm. These metalenses can concentrate incoming light into spots as tiny as ~0.64λ, achieving imaging with exceptional resolution. Ndao et al.139 demonstrated a fishnet achromatic metalens via the above fabrication process, as shown in Fig. 5j, and the unit-cell design of the metalens achieves multiple degrees of freedom thanks to the EBR, which determines the nanostructures’ shape. Recently, the Capasso team140 developed a novel method for fabricating bilayer metasurfaces with high AR. The metasurfaces are composed of free-standing TiO2 nanofins directly stacked on top of one another, operating in the visible band. Each nanofin enables independent 0–2π phase coverage at each layer using geometric phase. Figure 4c shows the novel fabrication process. The general process is consistent with their previous reports137. Moreover, it should be noted that when the e-beam process occurs on the top layer, the resist located on the bottom layer is also exposed to ballistic electrons. As a result, the exposed regions of both the top and bottom resists become soluble in a developer solution. Thus, selecting the resist material and the developer solution is crucial. Even though both resist layers are exposed simultaneously, if the chemicals in the exposed bottom layer resist are either insoluble or exhibit minimal solubility in the developer solution for the top layer resist, development will occur only in the top layer. Meanwhile, the bottom layer resist film will remain undisturbed. ZEP520A and o-Xylene are selected as the bottom resist layer and its developer, respectively. PMMA and MIBK/IPA 1:3 Positive Radiation Developer or H2O/IPA 1:3 solution are selected as the top resist layer and its developer, respectively. This set of resist and developer allows selective development of the top resist while minimizing the impact on the bottom resist. The final sample is shown in Fig. 5k, which consists of bilayer high AR TiO2 nanofins.
The EBL technique can also directly define the resist to form nanostructures without etching or lift-off processes, avoiding structural damage. Li et al.141 demonstrated a cavity-enhanced bilayer metalens with improved efficiency. The fabrication process is as follows. A 100-nm-thick polymethyl methacrylate (PMMA) layer is spin-coated on the indium tin oxide (ITO) coated quartz substrate, and then the PMMA is patterned by the EBL technique. Finally, a 30-nm-thick Al layer is deposited on the patterned PMMA. The lift-off process is unnecessary, thereby preventing the structural fluctuations caused by it. The final sample is shown in Fig. 5l. Andrén et al.142 developed a method to construct metasurfaces directly from an exposed resist, eliminating the need for extra material deposition, lift-off or etching processes. The final sample is shown in Fig. 5m. Sin Tan et al.143 demonstrated the utilization of large-scale metasurfaces to create an economically viable manufacturing process for an all-dielectric multilevel security print aimed at anti-counterfeiting. This innovative approach relies on SU-8 gratings. The rapid achievement of a large area print (1 mm2) within a remarkably short duration (~11 min) is attributed to the exceptional sensitivity of the resist (~200 nm feature size). The fabrication process is as follows. An ~650-nm-thick SU-8 layer is spin-coated and baked on the Si substrate, and then the SU-8 is patterned using the EBL technique to form the nanostructures directly. Finally, the un-patterned SU-8 is removed. The final sample is shown in Fig. 5n. To minimize the occurrence of residual layers and prevent any crosslinking effects resulting from thermal exposure, it is advisable to avoid post-baking.
Focused ion beam
Focused ion beam (FIB) is an advanced nanomachine technique that uses high-energy ion beams to etch, deposit, and modify materials on a tiny scale as an atomic manipulation tool. During the etching process, most of the sputtering spilled particles are pumped away by the vacuum pump, but some will fall near the etched area, and this process becomes redeposition. Redeposition can fill adjacent structures, so when etching multiple adjacent structures, a parallel pattern is often used to minimize the impact of redeposition.
Principe et al.144 first demonstrated the metafibers, which integrate optical metasurfaces on the fiber tip, the meta-tips (MTs). The fabrication process is as follows. A 2-nm-thick Cr film (as the adhesion layer) and a 50-nm-thick Au layer are deposited successively on the facet of the fiber (single-mode fiber, SMF) by the EBE technique. Finally, the Au layer is etched by the FIB technique to form the nanostructures. The final sample (MT3) is shown in Fig. 6a, b. Five prototypes of MT are manufactured to serve as a proof-of-concept, encompassing beam steering and surface wave coupling, which aligns closely with the theoretical framework. Xomalis et al.145 demonstrated a metadevice for all-optical signal modulation based on coherent absorption. Figure 6c shows the functionality of the network, which is based on controlling light absorption with light on an ultrathin metamaterial absorber. The fabrication process is as follows. A 70-nm-thick Au layer is deposited on the facet of fiber (cleaved polarization-maintaining single-mode silica fiber) by the thermal evaporation technique. Next, the Au layer is etched by the FIB technique to form the nanostructures. The final sample is shown in Fig. 6c (inset). The fiber output is coupled to a second cleaved polarization-maintaining optical fiber using two micro-collimator lenses to realize an in-line fiber metadevice. Yang et al.146 demonstrated a metafibers for focusing light in the telecommunication regime. The fabrication process is as follows. A 40-nm-thick Au layer is deposited on the facet of large-mode-area photonic crystal fiber (PCF) by the magneton sputtering technique. Next, the Au layer is etched by the FIB technique to form the nanostructures. The final samples are shown in Fig. 6d (0.37NA) and Fig. 6e (0.23NA).
a SEM image of the fabricated metafiber, shows the entire fiber cross-section. b SEM image shows the entire metasurface with different sizes Au nanoholes (upper). SEM image shows two units (lower). c Light-light interaction on the metasurface exhibiting coherence. Inset: SEM image of the entire fabricated sample (right), black scale bar: 100 μm. Inset: SEM image shows the asymmetrical Au split ring apertures array (left), gray scale bar: 1 μm. SEM images of two fabricated PCF metalens with Au nanoholes with different orientations. NA of (d) and (e) is 0.37 and 0.23, respectively. f SEM image of the cross-section of the fabricated metafiber (left). SEM image shows the entire metasurface with Au nanoholes with different orientations. g SEM image of the cross-section of the fabricated metafiber. h SEM image shows the concentric ring Au nanogrooves (metagrating). SEM images show the fabricated single metalens (i) and the fabricated array of metalenses (j) is integrated with a μ-LED respectively, with different sizes GaN nanoholes. a, b Reprinted with permission from ref. 144. Copyright 2017 Springer Nature. c Reprinted with permission from ref. 145. Copyright 2018 Springer Nature. d, e Reprinted with permission from ref. 146. Copyright 2019 De Gruyter. f Reprinted with permission from ref. 147. Copyright 2023 De Gruyter. g, h Reprinted with permission from ref. 148. Copyright 2024 American Chemical Society. i, j Reprinted with permission from ref. 149. Copyright 2024 Elsevier
Hua et al.147 demonstrated the utilization of plasmonic metafibers in the development of cylindrical vector lasers with all-fiber Q-switching capabilities. The fabrication process is as follows. A 5-nm-thick Cr film and 50-nm-thick Au layer are successively deposited on the facet of fiber (two-mode fiber with a ceramic ferrule, TMF-CF) by the thermal evaporation technique. Next, the Au layer is etched by the FIB technique to form the nanostructures. The final sample is shown in Fig. 6f. Then, the TMF-CF with metasurfaces is packaged with a SMF-CF utilizing a ceramic casing. Liebtrau et al.148 investigated the coherent coupling between electrons and light in a circular metagrating positioned on the input surface of a multimode fiber. This interaction was achieved by utilizing the Smith-Purcell effect. The metagrating is composed of 237 concentric rings with an approximate radial spacing of 200 nm and a maximum diameter of 100 μm. The fabrication process is as follows. A 5-nm-thick Cr film and a 45-nm-thick Au layer are successively deposited on the facet of a multimode fiber. Next, the Au layer is etched by the FIB technique to form the nanostructures. The final sample is shown in Fig. 6g and h. Kim et al.149 demonstrated a micro-light-emitting diodes (μ-LED) with central emission wavelength of 390 nm, which integrated with metalens to improve extraction efficiency and directivity. The GaN surface of μ-LED (a 60-μm-diameter, hexagonally shaped) is etched by the FIB technique to form the nanoholes. A μ-LED integrated with a single metalens (60-μm-aperture) is fabricated, as shown in Fig. 6i. Moreover, a μ-LED integrated with an array of metalenses (each 10.9-μm-aperture) is fabricated, as shown Fig. 6j.
Direct laser writing
Direct laser writing (DLW) has been increasingly chosen as a micromachining route for efficient, economical, high-resolution material synthesis and conversion. It is one of the main techniques for making diffractive optical elements. It uses a laser beam with variable intensity to forms the required relief contour surface. When subjected to intense laser irradiation, the SiO2 substrate undergoes decomposition resulting in the formation of porous glass. The refractive index of this porous glass correlates directly with the intensity of the applied laser. More specifically, when employing a high-energy laser source, a plasma characterized by a significant concentration of free electrons is produced via a process known as multiphoton ionization. It is worth noting that these stripe-like nanostructures emerge due to interference occurring between this plasma and the incident light beam150. Femtosecond laser ablation has the characteristics of low damage threshold and small thermal diffusion zone, which can realize “non-thermal” micro-machining of materials, thus significantly reducing the negative impact of thermal effect in traditional long pulse laser processing.
Zhou et al.151 demonstrated a wideband photonic spin hall metalens (PSHM), which combining two types of geometric phase lenses into a single lens with dynamic phase integration. The glass substrate is etched by the femtosecond laser direct writing (FLDW) technique to form the spatially varying nanogrooves in the center of the substrate 4 mm×4 mm region. The final sample is shown in Fig. 7a. The results depicted in Fig. 7b offer supplementary proof that the laser therapy led to the creation of nanostructures aligned vertically, surpassing the wavelength limit at remarkably subwavelength scales. Then, Zhou et al.152 proposed a method for detecting edges across a wide range of frequencies using metasurfaces that utilize PB-phase. The glass substrate is etched inside by the FLDW technique (50 μm beneath the surface) to form the nanostripes in the center of the substrate 8 mm×8 mm region. The final sample is shown in Fig. 7c. Next, Zhou et al.153 demonstrated a phase imaging metadevice inspired by the eagle eye. The fabrication process is as follows. The fused silica plano-convex lens is etched inside by the FLDW technique (50 μm away from the flat surface) to form the nanostructures in the center of the substrate 6 mm diameter region. The final sample is shown in Fig. 7d and e. Wei et al.154 demonstrated a varifocal metalens. The fabrication process is as follows. The layer-by-layer method is employed to fabricate the graphene oxide (GO) layer, utilizing the self-assembly technique. The PDMS substrate is coated with alternating layers of poly dimethyl diallyl ammonium chloride (PDDA) and GO, where each bilayer of PDDA-GO has an approximate thickness of 4 nm. A total of 62 bilayers are utilized to construct the metalens. The PDDA-GO bilayers are etched by FLDW technology to form the concentric nanorings in the center of the substrate 150 μm diameter region. The final sample is shown in Fig. 7f. Hakamada et al.155 demonstrated a metalens operating at 0.8 THz with 2-cm-diameter of and a focal length of 30 mm. High-resistivity silicon substrate is etched by the FLDW technique to form 5,569 through-holes.
a Photograph of the fabricated metalens, scale bar: 4 mm. b SEM image indicates the microscopic laser-written spatially varying nanogrooves, scale bar: 300 nm. c Photograph of the fabricated metasurfaces (left), scale bar: 5 mm. Optical image of the metasurface created using a polariscope (right), scale bar: 25 μm. The orientation of structures in one period is represented by the red bars. Inset: the induced nanostructures are depicted in the SEM image, scale bar: 500 nm. d Dark-field image depicting the cross-sectional view of the fabricated metadevice, scale bar: 50 μm. Inset: photograph of the fabricated metadevice. e SEM image shows the arrangement of nanopores, with a magnify image reveals the detail. f SEM image of the entire fabricated metalens (left). SEM image shows the concentric graphene oxide nanogrooves (right). g Schematic of the light modulation process employed by PPLL, which generates patterned pulses with energy distribution characterized by gradient boundaries. The polarization direction is indicated by the arrows. h Schematic of fabrication of nanohole arrays with optimized non-diffracting laser lithography, scale bar: 500 nm. i Schematic of optimization in phase diagram by a reverse-axicon phase diagram. j SEM images of fabricated metalens consists of 3-mm-diameter nanoholes with different depths. a, b Reprinted with permission from ref. 151. Copyright 2018 American Chemical Society. c Reprinted with permission from ref. 152. Copyright 2019 National Academy of Sciences. d, e Reprinted with permission from ref. 153. Copyright 2024 John Wiley and Sons. f Reprinted with permission from ref. 154. Copyright 2021 American Chemical Society. g Reprinted with permission from ref. 156. Copyright 2022 Springer Nature. h–j Reprinted with permission from ref. 157. Copyright 2025 John Wiley and Sons
Modulated laser beams with one-step patterning capabilities have attracted the attention of researchers. Huang et al.156 developed a patterned pulse laser lithography (PPLL) for fabricating the large-are metasurfaces with sub-wavelength feature resolution nanostructures. Repetitive ablated or modified structures can be rapidly generated by high-speed scanning of ultrafast laser pulses separated using patterned wavefronts created by quasi-binary phase masks. The use of gradient intensity boundaries and circular polarization in the wavefront, which is capable of reducing diffraction and polarization-induced asymmetric effects as light propagates, thus maintaining a high degree of uniformity. As shown in Fig. 7g, the modulation of a linearly polarized femtosecond laser with a distribution of polarizations, followed by filtering to maintain homogeneous linear polarization. Subsequently, this polarization state can be transformed into circular polarization using a quarter-wave plate, ultimately achieving customized patterns with controlled light intensity. Experimental characterization demonstrates that the large-area metasurfaces (10 × 10 mm2) with 250,000 concentric rings can be fabricated in only 5 min. Conventional metalenses with nanopillars often encounter issues related to limited phase delay, which is attributed to the constrained AR and duty cycle of their unit cells. Xu et al.157 proposed a novel high-speed non-diffracting laser fabrication method for all-glass metalens with nanoholes, assisted with thermal and chemical after-treatment, as shown in Fig. 7h. The laser is modulated using a spatial light modulator that is programmed with a distinctive inverted-axicon phase pattern and subsequently focused through an objective lens. High-speed laser scanning along a predefined 3D trajectory is enabled by an xyz-motion stage, which leads to spatially isolated single-pulse ablation on a glass substrate for the efficient initial creation of hole arrays. Then, subsequent after-treatments involve high-temperature annealing (removing stress concentration) and hot alkaline etching (enlarging the hole diameter reaching the target scale with polished side wall). The non-diffracting Bessel beam is optimized using a reversed-axicon phase profile to ensure the consistency of the nanohole diameter throughout its depth, as shown in Fig. 7i. This method could fabricate metasurfaces with a period as small as 0.8 μm, notably, the depth can be precisely controlled to be over 10 μm. Then, they fabricated a 3-cm-diameter metalens with 10 mm focal length as shown in Fig. 7j, high-speed scanning causes a slight shift in the distribution of nanoholes due to the position accuracy of the motion stage and pulse triggering. Subsequently, a meta blazed grating, a meta axicon lens, and a vortex plate are fabricated to further verify the broad applicability of this method.
Three main techniques of maskless lithography for fabricating metalenses or optical metasurfaces are reviewed. EBL is particularly suitable for manufacturing metalenses with high resolution, high AR nanostructures, as the side-wall effect can be avoided by defining the pattern with the polymer, resulting in near-vertical nanostructures. However, the point-by-point exposure of the EBL increases the manufacturing time compared to the large-area pattern obtained by a single exposure of photolithography. The EBL still has the potential for large-area lithography, where the focused electron beam is projected onto the polymer through a mask with patterned holes, and the pattern of a period can be obtained through a single point exposure. FIB is suitable for manufacturing metalenses with nanohole structures because of its ability to perform precision engraving directly on the surface of the material. However, target atoms may be deposited on the sample surface, and ions may be injected into the sample, affecting the device performance, which requires a balanced consideration of the working parameters of the FIB. DLW is the alternative chosen solution for manufacturing large-area metalenses, with relatively low cost and high throughput characteristics, but it remains a challenge to engraving precise and uniform nanostructures.
Additive manufacturing
At present, manufacturing methods are mainly divided into subtractive manufacturing method, additive manufacturing method and partial methods combining the two. Additive manufacturing (AM) methods manufacture the target structure point by point or layer by layer on the basis of a file generated by computer aided design. In recent years, AM or so-called 3D printing technique has been developed, because 3D printing technique can easily and flexibly manufacture complex structures, processing based on additive manufacturing technique has been widely used, including artificial tissues and organs158, automobile industry159, aerospace industry160, architecture industry161, electronics industry162, food industry163, and fashion164 industry et al. Moreover, combining 3D printing with inverse-design could revolutionize metasurfaces design and manufacturing165,166,167, include improving the freedom of design, realizing complex shapes and structures, shortening the manufacturing cycle, and reducing costs.
Fused deposition modeling
The core idea of fused deposition modeling (FDM) is to melt one or more fibrous raw materials and deposit them on the substrate layer by layer. The advantage of this technique is that the principle is simple and the manufacturing cost is low. The quality requirements of precision optical components are often not met when using the FDM technique for direct processing. The components produced through FDM commonly exhibit drawbacks such as non-uniformity and subpar surface quality. These shortcomings often lead to significant light scattering effects that are not desired in optical applications.
Callewaert et al.168 demonstrated a series of non-resonant, broadband inverse-design metadevices at millimeter wave frequencies, including polarization splitters (Fig. 8a), meta-gratings (Fig. 8b), and metalenses, which are made of high impact polystyrene (HIPS) materials and fabricated by the FDM technique. HIPS is a rigid and impact-resistant plastic that is derived from the polymerization of styrene monomers. It also exhibits low dielectric loss with a measured tan δ < 0.003 in the frequency range of 26 ~ 38 GHz. The real part of the dielectric constant of HIPS ε’≈2.3. Ballew et al.169 demonstrated a series of inverse-design mechanically reconfigurable metadevices at millimeter wave frequencies that exhibit capabilities such as focusing (Fig. 8c), spectral demultiplexing (Fig. 8d), and polarization sorting (Fig. 8e), which are made of polylactide acid (PLA) materials and fabricated by the FDM technique (UltiMaker S3, UltiMaker). PLA is a bio-based, sustainable plastic. The assumed refractive index of PLA is 1.5 at working band, whereas the actual refractive index of PLA is approximately 1.65, due to the presence of an air gap resulting from incomplete filling of the device (causing a shift in the effective index of the waveguide mode towards a value between air and PLA). Then, Ballew et al.170 investigated the diffusion of wave dynamics in multilayer structures of rod layers at frequencies. This investigation utilized terahertz time-domain spectroscopy (THz-TDS). The rods layers are made of acrylonitrile butadiene styrene (ABS) materials and fabricated by the FDM technique (i3 Mega, Anycubic). The findings indicate that the optical and geometrical characteristics of the structures result in distinct spectral forbidden bands for the incident THz radiation. Melouki et al.171 demonstrated a conformal metalens with multiple beam-shaping functionalities at millimeter wave frequencies. The metalens is made of PLA materials and fabricated by the FDM technique. The final sample is shown in Fig. 8f. The relative dielectric constant of PLA εr = 2.72 with tan δ = 0.08 measured at 28 GHz.
a Schematic of the fabricated polarization splitter (left). Photograph of the fabricated HIPS polarization splitter (right), measured by a ruler. b Photograph of the fabricated HIPS metagrating, measured by a ruler. c–e Schematic respectively illustrating the rotatable device, rotating squares, and shearing device featuring three configurations, where PLA depicted in blue and air represented by white. f Photograph of the entire fabricated metalens with PLA cubes with different thicknesses. g Photograph of the fabricated GRIN lens (upper), scale bar: 2 mm. SEM image shows the criss-crossed resin rods (lower), scale bar: 300 μm. h Photograph of the fabricated meta-antenna with high temperature resin cubes with different heights, supporting by the fixture. i Photograph of the fabricated metagrating metalens, with a magnified view reveals the details of the concentric ring-arrangement red wax resin metagrating. j Photograph of the fabricated metalens with resin pillars with different sizes. k Photograph of the entire fabricated integrated meta-antenna. l Photograph of the fabricated metagrating metalens with three magnified views indicating the details of the concentric ring-arrangement resin metagrating. a, b Reprinted with permission from ref. 168. Copyright 2018 Springer Nature. c–e Reprinted with permission from ref. 169. Copyright 2021 Springer Nature. f Reprinted with permission from ref. 171. Copyright 2024 Multidisciplinary Digital Publishing Institute. g Reprinted with permission from ref. 172. Copyright 2016 John Wiley and Sons. h Reprinted with permission from ref. 176. Copyright 2023 Institute of Electrical and Electronics Engineers. i Reprinted with permission from ref. 179. Copyright 2023 Elsevier. j Reprinted with permission from ref. 181. Copyright 2023 Elsevier. k Reprinted with permission from ref. 183. Copyright 2024 John Wiley and Sons. l Reprinted with permission from ref. 184. Copyright 2025 Chinese Laser Press
Stereo lithography apparatus
Stereo lithography apparatus (SLA) is mainly used for UV curable polymer materials. After the current polymer layer is cured under ultraviolet irradiation, a new polymer layer is laid on and UV curing is repeated. The UV exposure process has two main methods: laser spot scanning and light face projection. The light face projection method has a digital micromirror device (DMD) or liquid crystal display (LCD) to control the illumination, and its printing speed is much faster than laser dot scanning. The disadvantages of light face projection method are that it requires a high energy light source, the pixelation of the projection will have a negative effect on the print quality.
Zhou et al.172 demonstrated a 3D gradient-refractive index (GRIN) lens, which operating at THz wave frequencies. The GRIN lens is made of photosensitive resin materials and fabricated by the projection micro stereo lithography (PμSL) technique. The final sample is shown in Fig. 8g. Wu et al.173 demonstrated a high-gain circularly polarized antenna operating at THz wave frequency, which are made of high temperature resin materials and fabricated by the SLA technique (Form 2, Formlabs). The relative dielectric constant of resin εr = 2.66 with tan δ = 0.03 measured at ~300 GHz. Liao et al.174 demonstrated a hologram imaging metalens based on a diffractive neural network, which is made of high temperature resin material and fabricated by the SLA technique (Form 2, Formlabs). Wu et al.175demonstrated a series of discrete dielectric lenses (DDLs), which are designed to generate non-diffractive OAM beams at a frequency of 300 GHz. DDLs are made of high temperature resin materials and fabricated by the SLA technique (Form 2, Formlabs). Xie et al.176 demonstrated a meta-antenna with an ultra-wideband achromatic characteristic, which comprises of a convex-liked metalens (VLM) and another concave-liked metalens (CLM), integrated together. The meta-antenna is made of high temperature resin materials and fabricated by the SLA technique (Form 3, Formlabs). The final sample is shown in Fig. 8h. The relative dielectric constant of resin εr = 2.66 with tan δ = 0.03 measured at ~300 GHz.
In recent years, metagrating have played crucial roles in terahertz frequency177. Li et al.178 demonstrated the metagratings for bending the beam into the T-1 diffraction order and a metagratings lens with 0.604NA, both polarization-insensitive operating at THz wave frequency, which is made of ABS material and fabricated by the SLA technique. The index of ABS n = 1.67 measured at 0.1 THz. Zhang et al.179 demonstrated a metalens based on the metagratings (a series of period varying symmetric dimer) operating at 0.1 THz, which are made of red wax resin materials and fabricated by the SLA technique. The final sample is shown in Fig. 8i. The index of resin n = 1.6 measured at 0.1 THz. The experimental results indicate that when light is directed vertically incident on the metalens, the transmitted light demonstrates a great effective focusing capability, achieving a maximum angle of deflection at 65°. Yan et al.180 demonstrated a metagrating for bending the beam into the T-1 diffraction order and a metagrating metalens with high NA = 0.902, both polarization-insensitive operating at THz wave frequency, which are made of ABS materials and fabricated by the SLA technique. The index of ABS n = 1.67 measured at 0.1 THz. Jang et al.181 demonstrated a polarization-insensitive 0.5NA metalens operating at 0.2 THz, which are made of UV sensitive resin materials and fabricated on the SiO2 substrate by the SLA technique. The final sample is shown in Fig. 8j. The index of resin n = 1.75 with tan δ = 0.04 measured at 0.2 THz. Kim et al.182 studied the optical properties of 3D printing metalens based on the structural defects of above-mentioned work. The comparison of focal shift and transmittance induced by dimensional errors is conducted using the FDTD method. The simulation results show that the difference is less than 6% with and without errors. This finding suggests that structural defects in cell structures produced by 3D printing technique may not seriously affect their ability to focus THz waves. Shi et al.183 demonstrated a meta-antenna integrated with a waveguide interface, a beam expansion group, a horn antenna, and a metalens, as shown in Fig. 8k, which operating in 6-Generation THz communication window. The antenna is made of UV sensitive resin materials and fabricated by the SLA technique (Photon Mono X, Anycubic). The index of resin n = 1.644 measured at 0.14 THz. Then, Shi et al.184 further studied the design and optimization methods of the metagrating metalens. Based on the two methods of the highest manipulation and diffraction efficiency respectively, two superlens with a 0.434NA and working at 0.14 THz are designed for comparison. Two metalenses are made of UV-sensitive resin materials and fabricated by the SLA technique (Saturn 3 Ultra, ELEGOO). One of the final samples is shown in Fig. 8l, featuring a long depth of focus (DOF) on subwavelength scales.
Two-photon polymerization
Two-photon polymerization (TPP) 3D printing technique uses high NA objective lens to focus an ultra-short pulse, ultra-high power femtosecond laser to scan and cure inside the polymer, stacking layers to form the 3D structure. Though this method ultra-diffraction limit and high complex structure can be achieved, which greatly promote the development of micro and nano optics.
Multilayer metalenses provide additional degrees of freedom, and nanoscale 3D printing allows for the creation of a multilayer metalens in a single lithographic step. Thiele et al.185 achieved almost aberration-free imaging at specific wavelengths by stacking more than two planar lenses. Using the immersion method, the IP-Dip nanostructures is printed on the ITO-coated BK7 slide substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). The final sample is shown in Fig. 9a. Pillars which supporting the lenses has a wall thickness of 10 μm, and the maximum diameter of all lenses is 200 μm. Pan et al.186 developed a novel approach for the inverse design of multilayer achromatic metalenses (MAMs) using topology optimization and full-wave simulation. The IP-Dip nanostructures are printed by TPP technique (Photonic Professional GT2, Nanoscribe GmbH), each layer of metalens is firmly supported by sidewalls with holes. The final sample is shown in Fig. 9b. Balli et al.187 demonstrates a hybrid achromatic metalens (HAML), which effectively enhanced the focusing efficiency by integrating a phase plate with a metalens, also addressing chromatic aberration. The IP-Dip nanostructures are printed on a fused silica substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). Metalenses with entrance pupil diameter (EPD) of 80 μm, 40 μm, 40 μm (air-spaced), and 20 μm are fabricated, respectively, as shown in Fig. 9c (from left to right and from top to bottom). The samples exhibited high focusing efficiencies over a wide wavelength range from 1000 to 1800 nm, with average focusing efficiencies of 66%, 67%, 27%, and 61%, at diffraction-limited performances for NA of 0.06, 0.11, 0.32, and 0.27, respectively. The introduction of an air-space increases the lens diameter and NA, but the focusing efficiency of such air-spaced metalens decreases significantly.
a SEM image of the fabricated IP-Dip stacked diffractive doublet lens with supporting wall. b SEM image of the fabricated IP-Dip multilayer achromatic metalenses with supporting wall. c SEM image of the fabricated HAMLs, including 80 μm diameter merged HAML (upper left), 40 μm diameter merged HAML (upper right), 40 μm diameter air-spaced (lower left) HAML, and 20 μm diameter merged HAML (lower right), all with different sizes of IP-Dip nanopillars and different heights IP-Dip phase plates. d SEM image of the fabricated metalens with different heights of IP-Dip nanoholes and phase plates, scale bar: 3 μm. e SEM image shows the fabricated metalens with IP-L helical nanostructures with different orientations. f SEM image of the fabricated metalens, with a magnified view reveals the details of the 3D variable zirconium–silicon hybrid sol–gel nanobricks. g SEM image of the MLD array on the surface of CMOS sensor(left). The single IP-S MLD is imaged by SEM (upper right) and directly by the CMOS sensor (lower right). h SEM image shows a SMF (red) aligned with a free-form optical surface exhibiting a saddle-type shape. The polarization control is achieved through the presence of an IP-Dip chiral photonic crystal structure (blue). Additionally, beam shaping is facilitated by incorporating an IP-S free-form lens structure equipped with a Fresnel zone plate (green), scale bars: 25 mm. i SEM image of the fabricated metafiber with high AR IP-L nanopillar with different sizes. j SEM image of the fabricated metafiber with IP-Dip concentric nanorings. k SEM image of the fabricated metafiber with different heights of IP-Dip nanocubes, scale bar: 25 μm. l SEM image of the fabricated metafiber with adaptive heights of IP-Dip nanocubes, scale bar: 20 μm. m SEM images of the fabricated metafiber with the IP–Dip ellipsoidal-shaped tip nanopillars. n Upper: SEM image shows the influence of the exposure laser power on the pillar width. Center: SEM image shows the multilevel printed nanopillars of increasing height. Lower: SEM image of the fabricated matrix for the evaluation of nanopillars with different AR, the diameter of the nanopillars is fixed at 0.6 μm, the pitch equals the unit cell width of 0.8 μm. IP-Dip is the material for all nanopillars. o Configuration of the parallel 3D projection TPL system and the generation of independently controlled laser foci, TL tube lens, MLA microlens array, DM dichroic mirror, OB objective lens. p The unit nanostructures of metalens whose sizes can be adjusted by the pixel number. q SEM image of the fabricated SU-8 nanopillar array with various pixel numbers, scale bar: 20 μm. r SEM image of the fabricated metalens stitched by 3 × 3 arrays of 80 × 80 SU-8 nanopillars, scale bar: 50 μm. s A zoom-in and tilted view of (r), scale bar: 20 μm. a Reprinted with permission from ref. 185. Copyright 2019 Optica Publishing Group. b Reprinted with permission from ref. 186. Copyright 2023 American Association for the Advancement of Science. c Reprinted with permission from ref. 187. Copyright 2020 Springer Nature. d Reprinted with permission from ref. 188. Copyright 2021 De Gruyter. e Reprinted with permission from ref. 189. Copyright 2019 John Wiley and Sons. f Reprinted with permission from ref. 190. Copyright 2023 John Wiley and Sons. g Reprinted with permission from ref. 195. Copyright 2021 Springer Nature. h Reprinted with permission from ref. 197. Copyright 2016 Springer Nature. i Reprinted with permission from ref. 198. Copyright 2022 Springer Nature. j Reprinted with permission from ref. 199. Copyright 2021 American Chemical Society. k Reprinted with permission from ref. 200. Copyright 2021 Springer Nature. l Reprinted with permission from ref. 201. Copyright 2024 Optica Publishing Group. m, n Reprinted with permission from ref. 202. Copyright 2024 Optica Publishing Group. o–s Reprinted with permission from ref. 203. Copyright 2024 Optica Publishing Group
The advantage of 3D printing lies in its ability to print irregular three-dimensional structures. Balli et al.188 demonstrated ultra-wideband achromatic metalens with different heights of nanoholes and phase plates, working from visible to short-wave infrared band. The IP-Dip nanostructures are printed on a 0.7-mm-thick fused silica substrate using the TPP technique (Photonic Professional GT, Nanoscribe GmbH). The final sample is shown in Fig. 9d. He et al.189 demonstrated a chiral metalens of circular polarization dichroism operating at MIR region. The IP-L nanostructures are printed on a SiO2 substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH) to form the helical arrays. Finally, the EBE technique is employed to deposit a 100-nm-thick Au layer onto the sample. The final sample is shown in Fig. 9e. Wang et al.190 demonstrated a holographic metalens. Instead of using a commercial machine, they built their own TPP platform by directing a laser with 800 nm wavelength, 75 fs pulse width, and 80 MHz frequency to a 60× immersed objective lens. A base layer (38 μm in diameter and 200 nm in thickness) and a metalens are printed successively on a silica substrate by the TPP technique using a commercial zirconium–silicon hybrid sol–gel as material. The final sample is shown in Fig. 9f. Ye et al.191 demonstrated metal slit lenses for integrated light-sheet illumination. The 2-μm-high IP-L nanostructures (as the sacrificial layer) are printed on a 3 in. fused silica wafer substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). Then, a 5-nm-thick Cr film and a 100-nm-thick Ag layer are deposited on the sample successively by the magnetron sputtering technique. Next, the IP-L is lifted-off to obtain planar metal slit lenses. Hao et al.192 demonstrated a single-layer aberration compensated (SLAC) flat lens which combining the advantages of metalens and diffractive lens. The IP-Dip nanostructures are printed on a SiO2 substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH) to form the nanorings. Ren et al.193 developed a method called orbital angular momentum holography, which involves the creation of intricate amplitude metasurfaces in momentum space. These metasurfaces possess the unique ability to independently manipulate both amplitude and phase, enabling the multiplexing of up to 200 distinct channels for orbital angular momentum. The large complex-amplitude OAM-multiplexing metasurfaces hologram (COMH) consisting of 2000 × 2000 cells. The IP-L nanostructures are printed on an ITO-coated soda-lime glass substrate using the TPP technique (Photonic Professional GT, Nanoscribe GmbH). Sun et al.194 demonstrated a metalens consist of single set of 3D variable nanobricks array. The PMMA nanostructures are printed on a SiO2 substrate by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). Then the sample is coated with a 100-nm-thick layer of Au using the thermal evaporation technique. Goi et al.195 proposed a novel optical decryptor called machine learning decryptors (MLDs), which can be seamlessly integrated onto a standard CMOS chip. Instead of using a commercial machine, they built their own galvo-dithered two-photon nanolithography (GD-TPN) platform, which combines a femtosecond fiber laser with a frequency doubler to provide a laser with 535 nm wavelength, 55 fs pulse width, and 70 MHz frequency, which is guided via a two-dimensional galvanometer and an imaging system to a 100× oil immersion objective. A piezoelectric nanorotating stage is used to depict microstructures in the photoresist, while the galvanometer performed circumferential depiction of the laser focal point. The IP-S nanostructures are printed on a CMOS image sensor (IMX219 NoIR, Sony) using the TPP technique. Figure 9g shows the SEM image of the MLD array on the surface of CMOS sensor.
The flat end facet of an optical fiber tip serves as a novel light-coupled microscopic platform196. Based on the immersion method, it can be manufactured step by step to achieve hybrid devices with different materials. Gissibl et al.197 demonstrated a series of various sub-micrometer optical elements on the SMF end-faces. Figure 9h shows the spherical lenses for collimation. The fabrication process is as follows. The fiber is fixed using a standard fiber holder equipped with a V-groove, ensuring precise alignment by illuminating the rear of the fiber and examining its end face through a charge coupled device (CCD). The core is then aligned to the beam generated by the direct laser. In the two-step immersion process, an IP-Dip chiral photonic crystal structure is fabricated on the end facet of a fiber firstly, as circular polarization filter Then, the IP-S saddle-shaped free-form lens with a Fresnel zone plate is fabricated. The sample is printed using the TPP technique (Photonic Professional GT, Nanoscribe GmbH). Two-photon polymerization in three dimensions is achieved by vertical movement of an ultra-precise piezoelectric actuator and transverse scanning of the laser focal point by a vibrating mirror. Surface deviations of less than one wavelength between designed and fabricated elements. The selection of different photoresists depends on their writing features. Specifically, a high-resolution photoresist is employed for smoother surfaces, while a lower-resolution photoresist is used for the basements. Ren et al.198 demonstrated an achromatic metafiber. A vacant tower is located at facet of the SMF to expand its output in free-space, while also providing support for the metalens positioned above. A tower (525 μm in height, 120 μm in diameter, and 10 μm in wall thickness), a 15-μm-high thin layer, and 100-μm-diameter metalens are successively printed on the facet of the SMF by the TPP technique (Photonic Professional GT, Nanoscribe GmbH) using IP-L as the material. The final sample is shown in Fig. 9i. A number of holes are cut into the sidewalls of the tower for removing the remaining inside resist. Hadibrata et al.199 demonstrated an inverse-designed metafiber with thin circular grating structures for converting parallel wavefronts into spherical wavefronts in the near-infrared range. The IP-Dip nanostructures are printed on the facet of a SMF by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). The final sample is shown in Fig. 9j. Plidschun et al.200 demonstrated a metafiber for highly flexible optically capturing ultrahigh NA, based on an ultrahigh NA metalens on the functionalized SMFs to tightly focus light in an in vivo environment. They introduced a metalens design that relies on a consistent in-plane grid of sub-wavelength phase elements, with the discretization of individual phase values being adaptive and constrained only by the number of discrete values generated by the computer. To fully utilize the metalens’ cross-section, a MMF measuring approximately 750 μm in length is fused with a SMF for beam extension. A 5-μm-thick IP-Dip base layer and IP-Dip nanostructures are printed successively on the facet of MMF by the TPP technique (Photonic Professional GT, Nanoscribe GmbH). The final sample is shown in Fig. 9k. To avoid the introduction of additional structural components, that is, the tower will lead to reduced work efficiency, high material consumption, long printing time and mechanical weaknesses. Khosravi et al.201 developed a novel metafiber design that combines SMF with thermally expanded core (TEC) and a high NA metalens, based on their previous work200. Fibers incorporating TECs lead to significantly increased mode field diameters and decreased NA, which resulting in metafibers with enhanced mechanical stability, lower sensitivity to external influences, and a more straightforward design and implementation procedure. A 3-μm-high spacer layer (to avoid the influence of surface tilt) and the 15-μm-diameter metalens are successively printed on the facet of the SMF by TPP technique (Photonic Professional GT2, Nanoscribe GmbH) using IP-Dip as the material. The final sample is shown in Fig. 9l.
In recent years, the research on manufacturing metalens using the TPP technique has gradually increased. Therefore, the evaluation of the voxel printing results of TPP has become increasingly important. Vanmol et al.202 studied multilevel nanopillars utilizing the natural ellipsoidal voxel shape obtained by TPP technique. They also developed an approach based on the effective refractive index theory to enable efficient production of high NA metalens with limiting feature sizes on both flat substrates and fiber tips using a rapid and adaptable TPP technique. Firstly, several printing parameters are evaluated during the optimization of the fabrication to reach the desired print quality and reproducibility, including the influence of the exposure laser power on the pillar width (Fig. 9n, upper), multilevel printed nanopillars of increasing height (Fig. 9n, center) that reaching a maximum AR of more than 11, and high AR nanopillars tend to bend due to capillary forces and surface tension impacting during evaporation after development (Fig. 9n, lower). Then, the applicability of this method is demonstrated by three metalens with different focal lengths and NA, including a 0.15NA metalens (Fig. 9m) on the facet of SMF that collimates the beam emitted from a SMF (aperture of 120 μm), a 0.5NA metalens on the glass substrate (aperture of 100 μm), and a 0.96NA metalens on the glass substrate with the maximum NA allowed by the Nyquist sampling criterion (aperture of 100 μm). These metalens are printed using the TPP technique (Photonic Professional GT + , Nanoscribe GmbH) with IP-Dip as material. The half divergence angle of the metafiber is significantly reduced from 4.3° to 0.7° compared to the SMF without a metalens. The 0.5NA metalens has a FWHM of 2 μm, a focal length of 110 μm, and a focusing efficiency of 31.8%. The 0.96NA metalens has a FWHM of 1.3 μm, a focal length of 53 μm, which is the highest obtained through TPP-printed metalens at the time. Su et al.203 demonstrated a parallel 3D projection TPP system that generates 71 × 71 programmable foci with extended focal depth for the 3D projection of massive nanopillars that are tunable in size and period. Specifically, nanopillar arrays with different sizes can be fabricated by adjusting the intensity or exposure time of each focal point. Figure 9o shows the configuration of the system, which is based on their previous work204 (parallel TPP system with thousands of individually controlled foci). The DMD pixels are segmented into thousands of sub-regions by switching the micromirrors on or off. Each sub-region consists of 11×11 micromirrors and reflects a single sub-beam. By adjusting the pixel number of “on” within each sub-region, the intensity of the corresponding focal point can be controlled. As shown in Fig. 9p, unit cells consisting of nanopillars with adjusted size by the pixel number. When manufacturing large-scale structures, the process of generating and printing patterns can be very time-consuming. By applying amplitude modulation, that is, controlling the number of pixels corresponding to each sub-beam, the intensity of each focus can be modulated. Specifically, 121 pixels represent 100% intensity, and the sub-micromirrors are closed symmetrically from the periphery in sequence to reduce the intensity, as shown in Fig. 9q, nanopillar array with various pixel numbers is fabricated to obtain the relationship between pixel number, height and diameter (height and diameter are proportional to the pixel number). Subsequently, the relationship between phase change and pixel number can be deduced. Finally, a 405-μm-diameter metalens is fabricated, as shown in Fig. 9r, which is stitched by 3 × 3 arrays of interlaced 80 × 80 nanopillars. The magnified view (Fig. 9s) reveals high-quality printed results of the height and diameter of the nanopillars. Furthermore, a metalens with diameter of 10 mm is fabricated to prove the performance of system for large-scale and stitch-free manufacturing, which is stitched by 75 × 75 arrays, consisting of 36,000,000 nanopillars. This system achieves superiority in simultaneously realizing the precision volume exposure, individual control and the, massive nanofabrication.
Three main techniques of additive manufacturing for fabricating metalenses or optical metasurfaces are reviewed. FDM and SLA techniques are suitable for manufacturing metalenses that operate in the terahertz, microwave band. In recent years, both techniques have matured into the market, especially consumer 3D printers that have relatively high accuracy, making the application of 3D printing metalens research continues to increase. FDM technique forms a structure by extruding material from a three-dimensional moving nozzle, the vibration of the platform limits its accuracy, but can manufacture a large-volume model compared to SLA technique. SLA technique solidifies the material layer by layer by way of projecting a display pattern, the size and resolution of the display limits its accuracy, but has the smaller layer lines and smoother surfaces compared to FDM technique. TPP technique is suitable for manufacturing metalenses with irregular nanostructures, which cannot be achieved by the previously mentioned with/without mask lithography. TPP technique has sub-micron or even nanoscale printing accuracy, thanks to its special photopolymerization principle, which is a very important advantage in the field of micro and nano scale processing, which provides more options for different application scenarios. However, the point-by-point scanning efficiency of TPP is relatively low, which becomes a bottleneck when massive production is required. The materials currently used are limited, almost all polymer materials, which have a relatively low refractive index, so there is a need to develop materials with a high refractive index, such as GP-Silica (Nanoscribe), the world’s first photoresin for 3D printing silica glass microstructures (https://www.nanoscribe-solutions.cn/en/products/gp-silica/). The cost of using the commercial equipment is high, with expensive materials that limits its application in some research projects with limited budgets. Compared with the use of commercial machines, the self-made TPP system may be a new option. Recently, Messer et al.205 demonstrated a shoebox-sized 3D laser nanoprinter based on the two-step absorption principle, which can achieve horizontal spatial resolution (\(\sim 100{nm}\)) comparable to commercial machines, but several orders of magnitude cheaper.
Non-imaging optical applications
Up to now, research on metalens and optical metasurfaces has been mostly confined to the field of imaging optics, which is dedicated to the precise mapping of points in object space to their counterparts in image space, leading to high-quality image capture and reconstruction. In contrast, non-imaging optics focuses on the control of light energy transmission rather than direct imaging. Non-imaging optics aim to achieve efficient transmission and distribution of light energy by designing specific optical systems to meet specific lighting, energy harvesting, or other non-imaging needs. While imaging functionality is not absolutely excluded in some non-imaging designs, it is not the primary design target. Non-imaging optics face two core design challenges, generally summarized as illumination and light concentration, which are the focus of non-imaging optics research, and with the continued development of metalens and optical metasurfaces, the field of non-imaging optics has opened up new opportunities. By exploiting the unique properties of metalens and optical metasurfaces, such as high refractive index, ultra-thin structures, and high-precision modulation, researchers can design more efficient, compact, and flexible non-imaging optics systems.
Here, we subjectively classify the different stages of light energy transfer in non-imaging optics: the manipulation of the device’s external environmental light area is termed as illumination and shaping, whereas the modulation of the internal environmental light area is termed as energy concentration and harvesting. Illumination focuses on the distribution of light on a macroscopic level, such as directing light to a targeted region. In contrast, energy concentration focuses on the efficient collection and utilization of light energy. This classification may help researchers in defining design goals and implementing appropriate methods at different study stage, thereby enhancing the overall efficiency of the optical system.
Illumination and shaping
In tiny optical systems, conventional concentrators and collimators are often limited by their large size and weight, which not only adds to the complexity and cost of the system, but also restricts their application in space-constrained scenarios. The design and fabrication of these conventional devices is highly dependent on precise refractive or reflective surface shape optimization to achieve the desired optical performance.
Moreno et al.206 proposed metasurfaces-based non-imaging metaoptics. In the field of non-imaging metaoptics, metasurface components are utilized to introduce a phase shift to incoming wavefronts at various locations on a planar surface. This has an analogous impact as altering the shape of the surface in refractive or reflective non-imaging optics. Firstly, they obtained the refraction equation for an arbitrary incidence direction after numerical calculations, based on the 3D generalized Snell’s law29, the schematic diagram is shown in Fig. 10a. Then, a compound-metasurfaces concentrator (CMC) for capturing and concentrating beams with angular divergence is proposed. The Light concentrator with metasurfaces is able to work as efficiently as a typical compound parabolic concentrator (CPC). Next, a total internal reflection (TIR) metalens for achieving highly compact optical collimation is proposed. The light collimator with metasurfaces is not limited by the size of classic TIR lens. Finally, they discuss a metalens designed to enhance the efficiency of light transmission in a set of beams and achieve a specific goal. Metasurfaces may open new opportunities in non-imaging areas involving light concentration and illumination due to its compactness and high flexibility. Metasurfaces possess the capability to replicate various surface curvatures effortlessly, eliminating the need for additional manufacturing complexities. Consequently, metasurfaces are highly suitable for implementing non-imaging optics. However, no established design principles have been formulated specifically for non-imaging applications of metasurfaces. A geometric approach was utilized by Moreno et al.207 to develop the 3D vector form of Snell’s law for metasurfaces. Then, the derivation of general equations for determining the direction of reflected and refracted beams in any metasurface and under any incident beam conditions was achieved. These equations are concise expressions that incorporate three known factors: the unit vector perpendicular to the metasurfaces, the directional vector of the incident beam, and the phase profile gradient. Ray tracing for refraction and reflection on a metasurfaces is shown in Fig. 10b, c, and e by applying proposed equations, including refraction of the incident rays with direction fixed (Fig. 10b), refraction of the incident rays with random directions (Fig. 10c), reflection of the incident rays with direction fixed (Fig. 10d), and refraction of the incident rays with random directions on a polar phase gradient metasurfaces (Fig. 10e). Ray tracing for light transmission on a metasurfaces is shown in Fig. 10f and g by applying proposed equations, including refraction of the rays from a point source (Fig. 10f) and refraction of the incident rays with random direction(Fig. 10g). Then, Moreno208 proposed a metalens design method for uniformly illuminated based on the ray mapping algorithm between a point source and a target. Based on the generalized Snell’s law and the light mapping that produces uniform circular illumination in the target plane equation, a first-order differential equation characterizing the properties of the desired illumination distribution is proposed, and constructing metalens phase profile by integrating this equation. The results suggest that the metalens has the ability to effortlessly generate a uniformly illuminated pattern when employed a point light source. Furthermore, by employing the light mapping method, it becomes possible to adjust the separation of refracted rays within the illumination plane. This procedure effectively redistributes radiant energy and leads to uniform illumination. Next, Moreno et al.209 investigated and developed an effective and complete metasurfaces design model for collimated beam shaping, using a partial differential equation to describe the metasurfaces phase when light rays are emitted from a source and redirected by refracting these rays to produce a prescribed illumination. The application of the Monge-Ampére equation involves determining the equation for phase distribution in order to generate the intensity distribution of a collimated incident beam. This model is based on the principle of optimal mass transfer in lighting design, enabling the redistribution of collimated beams with arbitrary intensity distributions to achieve a desired output intensity pattern. Borne et al.210 proposed a method to design the metalens that achieves the desired ray mapping, based on how to construct implicit nonlinear differential equations to obtain the target optics according to the generalized vector refraction law and Fermat’s principle. They simulated the optical properties of the designed metalens in Zemax to verify the proposed design framework. This work extends the analytical optical design framework and further enriches the theoretical basis of metasurface in non-imaging optics. A method for modifying beams to achieve desired shapes was introduced by Nielsen et al.211, employing a phase-design approach that leverages the principle of optimal transport. A theoretical framework is established for utilizing metasurfaces to modify the distribution of a collimated beam, with the goal of achieving a desired output intensity profile.
a Schematic of the generalized refract and reflect light at a metasurface in 3D with arbitrary orientation of the phase profile. b–e The four scenarios are considered for refraction and reflection on a metasurface. b Refraction of the incident rays with direction fixed. c Refraction of the incident rays with random directions. d Reflection of the incident rays with direction fixed. e Refraction on a metasurface with a polar phase gradient (incident rays with random directions). f, g The two scenarios are considered for light transmission through a metalens. f Refraction of the rays from a point source. g Refraction of the incident rays with random direction. a Reprinted with permission from ref. 29. Copyright 2012 American Chemical Society. b–g Reprinted with permission from ref. 207. Copyright 2022 Optica Publishing Group
Metalenses allow extraordinary arbitrary control of the beam profile. Yang et al.212 derived analytical solutions for efficient computational design of metaoptics systems for beam control and pattern projection. Firstly, the phase profile of a single layer metalens for projection metaoptics is derived. The optimal phase distribution is quadratic in small divergence limit. It is worth mentioning that in imaging metaoptics, the spatial range of the incident beam is truncated by the virtual aperture, however, in non-imaging metaoptics, the beam size is defined by the divergence of the light source and is usually much smaller than the virtual aperture. Therefore, the effect of the spherical aberration inherent in the quadratic phase on the beam formation quality of non-imaging metaoptics is significantly weakened. Based on the real device parameters, a single layer metasurface with diffraction limit performance in the range of 140° FOV is designed, while the conventional lens under the same conditions can only cover FOV less than 40°. But Pincushion distortion is evident at the edge of the FOV, and the angular spacing of the projected beam points increases with the projection angle. Next, the phase profile of a doublet metalenses for projection metaoptics is derived, which provides additional extra design flexibility to customize the relationship between pixel position and beam angle as desired. It is important to note that there is a trade-off between beam quality, distortion, and FOV. To obtain a large FOV angle, they optimized the phase profile and designed a double-layer metalens that retains near-diffraction limit performance throughout the 120° FOV. Compared with the projection pattern of single-layer metalens, the distortion is almost eliminated. However, due to aberrations at large projection angles, the beam spot size will also increase. Metalens-based beam control and projection are promising applications for future optical metasurfaces.
Xie et al.213 demonstrated a metalens integrated vertical cavity surface emitting lasers (VCSELs) for arbitrary beam shaping. Figure 11a shows the schematic of the MS-VCSELs, a back-emitting configuration. They designed a non-diffracting zero-order Bessel laser, the measured FWHM of the Bessel beam is approximately 1.4 μm, as shown in Fig. 11b, which is in very good agreement with the theoretical value. Then, they presented a 10×10 MS-VCSEL chip as an example (Fig. 11c), which enables programming by individually controlling each MS-VCSEL to emit beams at different deflection angles. The metalens provides additional freedom for the VCSEL beam shape and is compatible with semiconductor manufacturing, allowing integration with other advanced techniques. Wang et al.214 also demonstrated metalens integrated VCSELs for structured light generation, including 1 × 3, 3 × 1, and 3 ×3 output beams arrays generators, 0 ~ 60° beam steering, and a wide FOV of 124° holographic beam shaping. For an ultra-compact system for generating structure light with arbitrary design phase distribution on a chip, this study fully demonstrates the great potential of metasurface integral integration technique for optical device miniaturization and functionalization. Ding et al.215 demonstrated a random metalens array (RMA) for enhanced beam shaping, which consists of 28 × 28 individual metalenses with random sizes. When a laser beam travels through the RMA, it is divided into a 28 × 28 array of beams. Each individual beam exhibits a unique speckle pattern. In the far field, these beams overlap with one another, as shown in Fig. 11d, which greatly improves the uniformity of the beam. To generate the initial phase, an improved GS algorithm is implied using a projection method. The uniformity of the shaped beam optimized by the traditional GS algorithm is 60.61%, while the uniformity of the shaped beam optimized by the improved GS algorithm is increased to 95.04%. This suggests that the improved algorithm is capable of efficiently shaping the light into a beam with high uniformity. Once the device size is determined, the entire metalens is divided into square metalenses of the same size. A perturbation is then applied to each side length of these metalenses, transforming them into different rectangular metalenses. A 6.3 mm×6.3 mm RMA consisting of 28×28 metalenses is fabricated. Figure 11e shows the vertical divergence angle (+1.63° ~ -1.73°), and Fig. 11f shows the horizontal divergence angle of 30.75°, with an overall uniformity is 95.9%. RMA is compatible with semiconductor manufacturing, and it also has important potential applications in LiDAR systems or laser systems. Ye et al.216 demonstrated a metalens integrated on the optical fiber facet (OFF) of a SMF for beam collimating, as shown in Fig. 11g. Simulations based on the fundamental Gaussian beam equation show that the divergence angle of SM600 can be estimated at 0.18°. They also proposed to add a thin film substrate on the OFF to spread the light power over as large an area as possible, enough to meet the larger size of practical applications, while the corresponding larger diameter of the metalens can also modulate the phase more accurately. To collimate the beam, defined the phase profile of the metalens as the opposite value of the phase of the beam at the top of the added film substrate. Figure 11h shows the simulated beam width wz (radius of intensity at 1/e2) of SMF with and without the metalens, with 0.5-mm-thick substrate. Obviously, at z = 40 mm, the beam emitted directly from the OFF diverges to wz=3.66 mm, while the beam divergence after metalens modulation is significantly reduced to wz=269 μm. Figure 11i shows the simulated beam width under different substrate thickness including 0.5 mm, 0.75 mm and 1 mm. They concluded that the thickness of the film substrate directly determines the far-field intensity distribution, and in order to achieve an metalens integrated SMF with advanced functions, the film substrate of appropriate thickness should be used.
a Schematic of the MS-VCSELs, depicting the metasurface integrated at the back side of the substrate of the the standard VCSEL, where θ represents the incident angle of the laser beam on the metasurface. b The measured intensity profile at z = 40 μm along the x axis which agrees well with the zero-order Bessel function. c Optical and SEM images of the array of 10 × 10 MS-VCSELs mounted onto a printed circuit board, scale bar: 10 mm. Inset: the schematics of the MS-VCSELs chip with different deflection angle, scale bars: top left, 1 mm; top right, 200 μm and bottom right, 100 μm. d Schematic of beam shaping by an RMA into in a highly uniform line beam. e The theoretical beam size of VCSEL and the measured size of the collimated beam (vertical plane). f The experimental and simulated far-field curve of the line beam (horizontal plane), with an image of the line beam. g Schematic illustrations that the beam is modulated by the metalens on the SMF’s OFF. h Simulated beam width wz (0.5-mm-thick substrate). The red and blue line represents the beam width without and with the metalens, respectively. i Simulated beam width under 0.5-mm, 0.75-mm and 1-mm-thick substrate respectively. a–c Reprinted with permission from ref. 213. Copyright 2020 Springer Nature. d–f Reprinted with permission from ref. 215. Copyright 2024 Optica Publishing Group. g–i Reprinted with permission from ref. 216. Copyright 2021 Optical Society of America
Metalenses can be integrated with light-emitting devices to customize the illumination angle and intensity. Zhou et al.217 demonstrated a spcekle image holography (SIH) metasurface for high-contrast, wide-FOV OLED. The SIH metasurface is capable of releasing the energy flow trapped within devices and in shaping wavefronts into desired patterns, thanks to its “regionally oriented” k-vector configurations. Figure 12a and b show the AFM image of the 1D grating patterned Poly(3,4-ethylenedioxythiophene) polystyrene sulfonate (PEDOT:PSS) layer and the SIH patterned PEDOT:PSS layer on an ITO-glass substrate respectively. The luminance to viewing angle and the corresponding enhancement ratios relative to that of the unpattern OLED (reference)1,D Grating OLED (G-OLED) and SIH-OLED are shown in Fig. 12c and d respectively. Compared to the ideal Lambert distribution of the reference, it is evident that the G-OLED produces sharper side-enhancement emission peaks at 0, ±30°, and ±75° angles from the normal to the surface. For a wide-FOV of -60° to 60°, the SIH-OLED enhancement factor is almost constant at 2, which meets the set goals, indicating that the holographic metasurface formed by the SIH interferogram can shape the wavefront of the nearside unpolarized light waves generated by the device. Kang et al.218 demonstrated metasurface-integrated bottom-emitting organic light emitting diodes (MIB-OLED), which exhibits improved performance over the traditional bottom-emitting organic light emitting diodes (B-OLED) and has the angular emission characteristics similar to the Lambertian pattern. Figure 12e shows the schematic diagram of MIB-OLED. The metasurface is based on a periodic, visible-range perfect absorber. The unit structure is a gold layer with eight slots (filled with dielectric material), which can optimize the absorption spectrum of the localized surface plasmon. When the illumination intensity is normalized by the maximum value of MIB-OLED, the angular emission characteristics of MIB-OLED and B-OLED are shown in Fig. 12f. The two have similar emission angles, when the emission angle is 0°, the maximum enhancement ratio is 1.58-fold, and the enhancement decreases gradually with the increase of the emission angle. As shown in Fig. 12g, MIB-OLED shows a stronger forward emission compared to the standard Lambertian emission distribution with a FOV of 101°, narrower than that of B-OLED, and it’s out-coupling emission better simulates Lambertian light sources. Kim et al.149 demonstrated the metalens integrated μ-LEDs. A single metalens (60-μm-aperture) with focal length of 5 μm is integrated into a 60-μm-diameter, hexagonally shaped, substrate-detached flip-chip μ-LEDs, as shown in Fig. 12h. Next, an array of metalenses (each 10.9-μm-aperture) with focal length of 5 μm is integrated into another same μ-LEDs. By incorporating a metalens, trapped light within the GaN medium with high refractive index can be effectively coupled out. The electroluminescence spectra exhibited remarkable enhancements in peak due to the integration of the metalens. The peak intensity of the single metalens μ-LED is enhanced by 226%, and this enhancement is further amplified to 338% in the metalens-array μ-LED, compared to the un-patterned μ-LED. The intensity distributions in the far-field for the three μ-LEDs are shown in Fig. 12i. The un-patterned μ-LED achieves FWHM emission angles of ±83°, while the single metalens μ-LED exhibits ±86° and the metalens-array μ-LED reduces it to ±75°. This reduction in emission angle enhances the directed light output, making it advantageous for applications that require focused illumination. Jiao et al.219 demonstrated the random plasmonic metasurfaces (RPMs) for improving the efficiency of OLED. RPM can make the incident light diffuse in random phase and has a broadband optical response. Figure 12j shows the fabrication process of the RPM with randomly distributed nanohole-disk units. By changing the PS/PMMA ratio, the response of scattering spectra at different central wavelengths can be achieved. OLEDs far-field distributions of electroluminescence (EL) intensity based on the PMMA plane and RPM are shown in Fig. 12k, compared with the ideal Lambert distribution, the intensity of EL distributed in the non-vertical direction is significantly improved, indicating that RPM reorganizes the far-field distribution of OLED. Cho et al.220 proposed to use metalens to enhance the luminous intensity of incoherently emitting astigmatism from LEDs by collimating them within detection angles of 0° and 10°. The typical hyperbolic phase distribution of the metalens can enhance the luminous intensity of the center (0°). The TiO2 and a-Si metalens achieved an 8551% and 2115% enhancement of the luminous intensity at the far-field (25 m) center, respectively. However, for the 10° range, modifications in the typical phase distribution are required due to the importance of phase modulation at the metalens edge. The phase profile of the concave lens is added to diffuse the light to the target detection angle region. The LED light source can be described as a set of point light sources, so the phase profile of the metalens is divided into small parts that correspond one-to-one with the point light source. The TiO2 and a-Si metalens achieved an 263% and 30% enhancement of the luminous intensity at the far-field (25 m) within 10°, respectively. It is worth mentioning that the efficiency enhancement at larger angles is not significant because the metalens is designed to concentrate the scattered light, instead of improving the efficiency of LED light extraction. López221 proposed the metalens is a potential integral part of packaged solution for mid-power color LED. Various metalenses with sizes up to 5 mm and focal length of 1.8 mm are fabricated, which can transmit over 90% of the light at wavelengths longer than 500 nm and near normal incidence and the transmission is limited to the range of 70 ~ 80% in the blue range. Employing an adaptive red LED emitter of a 3×3 array of 200 μm segments to demonstrate the metalens-ed and metalens-less device’s ability to focus the light of a close-by distributed light source. The image of the emitter is projected with the presence of the metalens. The employment of commonly used industry standards in the production process of all-dielectric metasurfaces presents them as a highly promising foundational element for future direct-color LEDs, with the potential to achieve extremely compact dimensions down to micrometer scale. Chen et al.222 proposed a novel design for wide-band beam collimating metalens of micro-LED. The phase design is based on the Huygens-Fresnel principle, where each point of the incident light that passes through is treated as an independent secondary wave source. Figure 12o shows the normalized far-field angle distribution of the traditional micro-LED structure (Fig. 12l). Compared with the FWHM angle of the emitted light of the traditional micro-LED structure, which are greater than ±60°, the FWHM angle of the red, green and blue metalens integrated micro-LED structure (Fig. 12m) is greatly reduced, reducing to ±6.64°, ±6.64°, ±3.17°, respectively. The central light intensity increased by 24.60-, 36.49- and 42.15-fold, respectively. The above results only discuss the emission characteristics of the MQWs layer under a single electric dipole. However, with a large number of micro-LEDs arranged, the electron-hole distribution in the MQWs layer becomes more dispersed and complex. The single metalens integrated micro-LED in a 4×4 array (a total of 16 metalenses) no longer has the collimation function, as shown in Fig. 12p. Next, metasurface partitioning is further introduced to achieve collimation, as shown in Fig. 12n, a 4×4 metalens array is integrated on one micro-LED, which achieved the collimation in a 4×4 array (a total of 256 metalenses). Figure 12q shows the normalized far-field angle distribution, the FWHM angle of the red, green and blue 4×4 metalenses integrated micro-LED structure is reduced to ±6.06°, ± 6.06°, and ±6.64° respectively, and the center light intensity is increased by 10.47-, 12.85-, and 3.75-fold, respectively. The proposed multiple electric dipole model and the metasurface partition method will enhance the collimation performance of larger micro-LEDs.
a AFM image of the 1D grating patterned layer. b AFM image of the SIH patterned layer. c Luminance of reference OLED, G-OLED and SIHOLED as a function of viewing angle. d Luminance enhancement of G-OLED and SIHOLED over reference OLED as a function of viewing angle. e Schematic illustration of the MIB-OLED. Inset: unit cell of the MIB-OLED. f Angular emission characteristics of MIB-OLED and planar B-OLED normalized by the maximum value of MIB-OLED (isotropic dipole orientation). g Normalized angular emission characteristics of MIB-OLED and planar B-OLED, compared with a Lambertian pattern. (isotropic dipole orientation). h Schematic illustration of single metalens-integrated μ-LED. i Measured normalized emission distributions of the μ-LEDs for three devices, respectively. Inset presents the same results on absolute scales. j Schematic of the fabrication process of an RPM. Inset: AFM image of fabricated RPM with randomly distributed nanohole-disk units. k The far-field distributions of electroluminescence intensity for the RPM-based OLED and the control device respectively. l–n The schematic diagram of the traditional micro-LED structure, single metalens micro-LED structure and 4 × 4 metalens array micro-LED structure. o Intensity distribution of the RGB micro-LED (vertical cross-section). p Intensity distribution of single metalens RGB micro-LED in a 4×4 arrangement (vertical cross-section). p Intensity distribution of single 4 × 4 metalens array RGB micro-LED in a 4 × 4 arrangement (vertical cross-section). a–d Reprinted with permission from ref. 217. Copyright 2016 American Chemical Society. e–g Reprinted with permission from ref. 218. Copyright 2021 Springer Nature. h–i Reprinted with permission from ref. 149. Copyright 2024 Elsevier. j, k Reprinted with permission from ref. 219. Copyright 2022 Elsevier. l–q Reprinted with permission from ref. 222. Copyright 2024 Optica Publishing Group
Energy concentration and harvesting
Metalenses can be integrated with optoelectronic devices to concentrate light energy. Bogh et al.223 presented the design and characterization of metalenses to enhance light extraction in MIR LEDs. Due to the high refractive index of the substrate material, a significant portion of the generated light is trapped within the device, leading to low wall-plug efficiencies. Metalens is introduced to address this issue by compensating for phase discontinuities at the substrate-air interface, thereby increasing the critical angle and expanding the light escape cone. Performance characterization through photoluminescence and angle-resolved photoluminescence measurements shows that the metalens significantly enhances light extraction (3.2× compared to a planar surface) but does not focus or collimate the light as expected. Future work aims to improve metalens design for 2D planar emitters and directly fabricate them onto SLEDs devices. Wenger et al.224 demonstrated a modular design method of large metalens concentrators for photodetectors. Each concentrator is divided into a set of sublens modules. Every sublens module features an off-axis focal point positioned between the concentrator’s center and the target detector’s center. This arrangement minimizes the required deflection angle, leading to an enhanced concentrator design. Additionally, each concentrator module is developed independently, which decreases the demand for computational resources and increases the flexibility of the design. Figure 13a~c shows three kinds of the general layout of off-axis metalenses design, including eight whole metalenses, eight whole metalenses with eight partial metalenses, concentric rings metalens, from left to right respectively. Figure 13d~f shows the SEM images after fabricated corresponding to the above metalenses arrangement design respectively. Measured and simulated signal enhancement for 3 kinds of metalens-based concentrator designs are shown in Fig. 13g. It is clear that the signal enhancement of design 2 is up to a factor of 6.4, and even the lowest signal enhancement will increase the signal by 40%. The measured values are consistent with the simulated values, but the measured values are generally lower than the simulated values, due to the side-wall effects of the manufacturing process. It’s worth mentioning that both theoretical and experimental signal enhancement vary with wavelength, because some nanostructures are very inefficient at certain wavelengths. This modular design method of metalens can be integrated with detector design in the future.
a–c Top views of designs 1, 2, and 3 of metalens-based concentrators respectively. The center dashed square indicates the intended detector on the opposite side of the substrate onto which the concentrators focus light. d–f SEM images of fabricated metalenses of designs 1, 2, and 3 respectively. g Measure and simulated signal enhancement at the substrate surface for all designs. The black dotted horizontal line indicates the optical signal without any optical concentrators. h Schematic illustration of metalens integrated OLED, metalens embedding between the glass substrate and ITO anode. i The EQE of different sizes of OLEDs without pattern, with grating, metalens, RCL, and QRSL. j Schematic of the sub-pixel 2 × 2 metalens focusing into its corresponding SPAD. k The expected number of detected photons of the experimental value without and with the metalens as a function of applied overvoltage. l The expected number of detected photons of the experimental value without and with the metalens as a function of the angle of incidence. m Photograph of the 40×40 metalens array with a magnified view, a blank area on the center of the metalens that corresponding to the through-silicon via area of the SiPM. n Photograph of the 40×40 SPADs of the SiPM with a magnified view. o Measured number of detected events with and without metalens as a function of the x-position. The black solid line shows the Gaussian fit curve. p Schematic of the axicon metalens for CMOS imaging sensor light harvesting. q Transmission spectra of the metalens along the x-direction, x = 0 indicates the center of the HSI lens and x = 6 indicates 6 pixels (on the HSI system) away from the center of the lens. a–g Reprinted with permission from224. Copyright 2021 American Institute of Physics Publishing. (h–i) Reprinted with permission from225. Copyright 2021 John Wiley and Sons. j–l Reprinted with permission from227. Copyright 2022 John Wiley and Sons. m–o Reprinted with permission from228. Copyright 2021 American Chemical Society. p, q Reprinted with permission from229. Copyright 2023 De Gruyter
Lin et al.225 demonstrated a 2D metalens to convert trapped light into direct-emission modes in a single interaction. The study focuses on micro-OLEDs, where sidewall losses are significant, reducing external quantum efficiency (EQE). For a 1 μm OLED, over 60% of energy is lost at the sidewalls, leading to low EQE. The metalens uses silicon nanoridges to control local phase and achieve wide-angle light collection. As shown in Fig. 13h, the metalens is embedded between the glass substrate and ITO anode. The EQE of different sizes of OLEDs without pattern, with grating, metalens, random scattering layer (RCL), and quasirandom scattering layer (QRSL) are compared as shown in Fig. 13i. Result shows that the metalens effectively enhances light extraction efficiency in micro-OLEDs, and this method is promising for ultra-high pixel density microdisplays. Joo et al.226 proposed a novel OLED device design, the meta-OLED, that achieves ultra-high pixel densities of more than 10,000 pixels per inch (PPI) based on a metaphotonic FP cavity with spatial variation based on microcavity effects. The metamirrors is obtained by precise design of nanostructures on Ag reflector. By designing the reflection phase, the resonant frequency is regulated, and finally the effect of any wavelength adjustable in the visible spectrum is achieved. Optimized microcavity effects bring improved brightness efficiency and color purity. Traditional white OLED with color filters is a technique proposed to solve the problem of FMM manufacturing process. The Meta-OLED has twice the luminous efficiency and excellent color purity (the FWHM of R, G and B pixels reflects the color purity of the display). The meta-OLED display can overcome many technical limitations of FMM and color filters, and has higher luminous efficiency, higher color purity and ultra-high pixel density than traditional OLEDs. With its many advantages, meta-OLED is expected to become a leading technique for next-generation displays and one of the most practical applications of ultra-optical technique. Silicon photomultipliers (SiPMs), consisting of 2D single-photon avalanche diode (SPAD) arrays. Uenoyama et al.227 demonstrated a 2×2 metalenses array for one SPAD to improve photo detection efficiency (PDE). The metalenses is implied to focus the light, effectively avoiding incident photons into non-sensitive areas (trench structures around the SPAD). The concept of 2×2 metalenses focusing is shown in Fig. 13j. The overvoltage-dependent characteristics of the metalens-integrated SiPM are evaluated while applying various overvoltages. Figure 13k shows the expected number of detected photons under various overvoltage. The PDE of metalens integrated SiPM is better than that without metalens, with an average increase of 69.2%. Under 5 V overvoltage, the angle of incidence-dependent characteristics of the metalens-integrated SiPM are evaluated, as shown in Fig. 13l, the PDE improvement ratio decreased as the incident angle increased. However, it is still better than that of the SiPM without the metalens, even up to an incident angle of 45°. Also, the coincidence time resolution (CTR) is evaluated, results show the improvement can be implemented for practical applications that use scintillation emission. Uenoyama et al.228 also developed a 40×40 metalens array to concentrate incident photons on the photosensitive region of the SiPM, which enhanced both the photon detection efficiency (PDE) and timing characteristics. Figure 13m~n show images of the fabricated metalens array and the selected SiPM, respectively. Both the metalens array and SiPM are 3×3 mm2 in size. The metalens array contains 40×40 metalenses, and the SiPM contains 40×40 SPADs. Both the metalens and the SPAD are 75×75 μm2 in size. The measured number of detected events (NDE) as a function of the x-position of the metalens is shown in Fig. 13o, “Gaussians” represent two corresponding low-photosensitivity areas (trench areas), and “constant” represents the photosensitive area. And they demonstrated the effectiveness of the metalens in significantly improving the PDE (within 5.8 σ CL) and timing performance (within 3.0 σ CL). In the future, metalenses compatible with semiconductor manufacturing will be designed and manufactured together with optoelectronic devices to avoid alignment errors. Chang et al.229 demonstrated an axicon metalens for broadband light harvesting in CMOS, which consists of a large central disc surrounded by nanopillars. The 4×4 layout, two R pixels, four G pixels, two B pixels, and eight W pixels are arranged in accordance with the RGBW CFA 2.0, as shown in Fig. 13p, the pixel size of each mosaic is 6 μm×6 μm. The axicon metalens acts as the W pixel for broadband sensing, the central disc is designed to focus light and the nanopillars are designed to deflect light to form a Bessel-like beam. This axicon metalens could concentrates the light to the sensor sensitive area and also harvests light from adjacent pixels. They built up a micro-hyperspectral imaging (μ-HSI) system to measure the spectral response at a subpixel spatial resolution. Figure 13q shows the transmission spectrum of the axicon metalens measured along the x-direction, from x = 0 (the center of the HSI lens) to x = 6 (6 pixels away from the center of the HSI lens). The transmission at the center of the metalens is 5-fold than that of the sample without the metalens. At the outer pixels, transmission is reduced, but there is no observable dispersion. And the normalized peak transmission of metalens is up to 250% at λ = 700 nm compared to a non-nanostructure film. The excellent broadband energy harvesting performance of the metalens shows that it has broad application prospects in the field of monitoring systems and internet of things applications.
Optical metasurfaces offer a notable breakthrough in the realm of solar energy harvesting. Their capacity to efficiently capture sunlight across a broad spectrum and wide range of angles, without necessitating tracking mechanisms, coupled with their compatibility for compact platforms, presents an encouraging alternative to conventional mirrors within concentrated solar power (CSP) systems. Yao et al.230 developed a highly effective dispersive mirror utilizing a multilayer metasurfaces composed of HCGs, as shown in Fig. 14a. The dispersive element consists of multiple layers of band reflectors that possess distinct reflection spectra. Each layer is composed of a 2D HCG with a specific pitch. By utilizing the FDTD and rigorous coupled-wave analysis (RCWA) methods could optimize the structure and parameters of the HCG index. This optimization is able to efficiently direct light across the solar spectrum at different angles for various wavelengths while minimizing energy loss. Next, Yao et al.99 proposed the implementation of a reflective CPV system (Fig. 14b) with a parallel spectrum splitting component, building on their previous work230. As shown in Fig. 14c, a dispersive component consisting of six layers of HCGs is designed and numerically optimized to effectively cover the entire solar spectrum. Subsequently, one layer of HCG is fabricated to validate the feasibility of this dispersive element. The reflectance measurement from normal incidence reveals an optical efficiency of merely 60%, primarily attributed to the specific sputtering conditions and etching process employed during TiO2 deposition.
a Schematics of HCG-based dispersive mirror. b Utilization of the multilayer HCG as a dispersive component in a concentrated solar power system. c Reflectance curves for the design of a dispersive element (six-layer stacked HCG) have been numerically optimized to effectively capture a wide range of solar wavelengths. d Enhancing absorption in the plasmonic metalens solar cell for both TM and TE polarizations. e Enhancing absorption in the dielectric metalens solar cell for both TM and TE polarizations. f Enhancing absorption in the phase gradient metasurface-based solar cell for both TM and TE polarizations. g Absorption optimized solar cell for both TM and TE polarizations, compared with that of a simple solar cell without the digital metasurface inside. h The performance of the linear-focusing metalens and its focal length varies throughout the day, from sunset to sunrise. a Reprinted with permission from ref. 230. Copyright 2014 Springer Nature. b, c Reprinted with permission from ref. 99. Copyright 2014 American Vacuum Society. d, e Reprinted with permission from ref. 231. Copyright 2018 Optica Publishing Group. f Reprinted with permission from ref. 232. Copyright 2018 Institute of Physics Publishing. g Reprinted with permission from ref. 233. Copyright 2021 Optica Publishing Group. h Reprinted with permission from ref. 234. Copyright 2022 Springer Nature
Shameli et al.231 introduced an innovative approach to improve the absorption of sunlight in thin film solar cells. They achieved this by incorporating a metalens, which enabled precise focusing and capturing of sunlight. Firstly, a plasmonic metalens is proposed. The numerical results indicate that the proposed approach effectively enhances light absorption in the visible and infrared regions of the solar spectrum. Specifically, as depicted in Fig. 14d, absorbance is significantly improved across most wavelengths ranging from 500 nm to 900 nm for both transverse magnetic (TM) and transverse electric (TE) polarizations. Moreover, a notable enhancement in short-circuit current for all incident angles within the range of 0° to 60° under both TM and TE polarizations. Subsequently, a dielectric metalens is proposed to avoid ohmic loss introduced. Figure 14e demonstrates that the dielectric metalens exhibits superior absorption enhancement compared to the plasmonic metalens. Additionally, an improvement in the short-circuit current relative to the plasmonic metalens. The short-circuit current is enhanced by a factor of 1.22 and 1.15 for TM and TE polarizations, respectively, with the plasmonic metalens. Similarly, with the dielectric metalens, it is increased by a factor of 1.47 and 1.25 for TM and TE polarizations, respectively. Moreover, this proposed approach offers a wide bandwidth due to its designed metalens broad frequency response while maintaining operability for both TM and TE polarizations as well as various angles of incidence. Next, a novel method is proposed by Shameli et al.232 to trap sunlight within thin-film solar cells using a phase-gradient metasurface that is not affected by polarization, based on their previous work231. The phase-gradient metasurface is strategically placed beneath the solar cell to redirect sunlight rays in an unconventional manner and prevent their loss from the cell. In Fig. 14f, the absorption enhancement is observed to be more uniformly distributed compared to previous works, particularly for longer wavelengths of light. Both negative and positive angles of incidence are considered when evaluating the short-circuit current for both TM and TE polarizations. This approach demonstrates improved performance across a wider range of incidence angles while maintaining uniformity in polarization response. Traditional methods to improve light trapping have limitations, such as plasmonic losses and dependency on polarization or incident angles. Further, Shameli et al.233 proposed a novel approach to enhance the efficiency of thin-film solar cells. The proposed solution involves shaping the active layer of the solar cell as a digital metasurface composed of nanoscale pixels made of silicon or air, optimized using a deep neural network and a genetic algorithm (GA). The structure is embedded between the ITO layer and the Ag layer, and fourfold symmetry is utilized to reduce computational complexity during simulations. The goal is to find the optimal configuration of these pixels to maximize light absorption and, consequently, the short circuit current of the solar cell. Absorption of the optimized solar cell is compared with that of a simple solar cell without the digital metasurface inside, as shown in Fig. 14g, the optimized structure demonstrates significantly higher absorption across most frequencies compared to a simple solar cell without the digital metasurface, particularly in the 280–700 THz range. The short circuit current of the optimized solar cell is 2.47 times higher than the simple cell for TE polarization and remains above 12 mA/cm2 for all incident angles less than 60°. This study addresses the challenge of low absorption in thin-film solar cells due to the reduced thickness of the active layer, which limits their efficiency. Zhang et al.234 discussed the three key factors that directly affect the performance of solar concentrators (focusing efficiency, operating bandwidth and angular stability), and proposed a linear concentrator system based on metalens. The main goal of the system is to gather the powerful solar rays across a substantial surface area, instead of directing them towards a single point. Moreover, there is no excessive requirement to prioritize achromatic characteristics since the absorber’s size significantly exceeds the wavelength of sunlight. The rotation of the earth causes the angle of incidence of sunlight to vary, so metalens with axisymmetric property is an appropriate choice to produce linear focusing and to maintain displacement along the collector from sunrise to sunset, as shown in Fig. 14h. As solar energy exploitation relies on the cumulative impact, it is necessary to establish mass production techniques for creating large-scale metalenses, such as nanoimprint.
Although the research in the field of non-imaging metaoptics started late, metalenses show great potential in replacing traditional non-imaging devices. Future research should further explore analytical tools for optimal transmission of light energy between light source and target, and solve the chromatic problem. Compared with traditional imaging optical design, the highlight of non-imaging optics is the introduction of thermodynamic theory through the design process based on edge ray principle235. However, the ray optics theory that optical tracking relies on is still not perfect, and it needs to be supplemented and adjusted by the wave optical framework. In addition, the 2D phase distribution of the metalens can achieve an effect equivalent to the 3D surface shape in refracting or reflecting non-imaging optics, so that complex problems can be solved in two dimensions rather than three. This property provides a promising and potential platform for the design of non-imaging optics. In particular, the metalens is compatible with the semiconductor manufacturing process, and is expected to gradually replace the microlens array on the surface of traditional optoelectronic devices in the integrated design and manufacturing of optoelectronic devices in the future. When the metalens is integrated with the light-emitting device, the light energy extraction efficiency can be significantly improved, compared with traditional light-emitting devices, it can not only achieve the light distribution close to the ideal Lambertian pattern, but also accurately control the light distribution of specific angles and intensities. Because of its high degree of freedom modulation ability of light, metalens can realize the personalized customization of beam shape, especially in the laser system has important application value. Compared with conventional solar cells, polarization-insensitive metasurface integrated solar cells can focus and capture incident light more accurately, thereby focusing more photons on the active layer and significantly improving the efficiency of light absorption. For both TM and TE polarization, the absorption performance is significantly enhanced. By converting more photons into electron-hole pairs, the design is able to generate a stronger photocurrent inside the cell, effectively increasing the short-circuit current. In addition, the metasurface is designed with a broad band response, enabling enhanced light absorption over a wide wavelength range, covering a major portion of the solar spectrum. This allows solar cells to use the energy of sunlight more efficiently, which significantly improves energy conversion efficiency. At the same time, the unique structure of the metasurface can effectively reduce the reflectivity of the incident light on the battery surface, reduce photon loss, and make more photons enter the battery and be absorbed and utilized. It is worth noting that the metasurface solar cell has a low dependence on the incident light angle, and can still maintain a high short-circuit current density within a wide range of incident angles, thus ensuring its stable performance under different lighting conditions. Compared with traditional metal metasurfaces, dielectric metasurfaces can avoid energy loss due to metal Ohms loss, further improving the overall efficiency of solar cells.
Imaging optical applications
Metalenses or optical metasurfaces are a novel type of planar optical device that possesses remarkable capabilities in manipulating various optical parameters, such as phase, amplitude, polarization, and wavelength. These devices have demonstrated immense potential for applications across multiple optical domains, particularly in the development of compact and integrated optical systems. Consequently, the integration of metalens with these systems has emerged as an area of significant research interest.
Lithography
The integration of metalens lithography system holds great potential in various fields such as semiconductor manufacturing, micro and nano processing. The reconfigurable and tunable nature of metalens enables flexible adjustments to meet different application requirements, catering to the diverse market demands effectively. Metalens can be integrated with a variety of optical components and systems, even integrates the characteristics of a series of components altogether to replace them, resulting in a more streamlined lithography system. This enhanced integration simplifies the system architecture, enhances performance, and reduces costs significantly.
Lee et al.236 studied the amplification effect of the abrupt field in the optical superlens effect. A thin silver plate with negative dielectric constant at 365 nm wavelength is chosen for the metalens. The structure is a multilayer (35-nm-thick silver layer, 40-nm-thick PMMA layer and 50-nm-thick Cr grating, from top to bottom) on the quartz substrate, as shown in Fig. 15a and an 120–150-nm-thick photoresist layer is spin-coated on as the imaging medium. Experimental characterization shows that a properly designed superlens has the capability to capture subwavelength details with a resolution that surpasses the diffraction limit. The superlens successfully captures the image of a grating object with a half-pitch of 50 nm, achieving a resolution of λ/7 at an incident wavelength of 365 nm. Additionally, Fig. 15b demonstrates the superlens’ capability to image arbitrary nanostructures below the diffraction limit, as evidenced by its ability to capture a “NANO” object with a linewidth approximately measuring 40 nm.
a Schematics of the superlens sample structure. b Superlensing image, scale bar: 2 μm. c Schematic of the ZPAL system. d Schematic of the TPP system based on the proposed metafiber, M mirror, L lens, OL objective lens, C camera. e SEM image of sample pattern “NU” fabricated by the TPP system. f SEM image of different lines fabricated by the TPP system under laser powers of 6, 7, 8, and 9 mW from the top to the bottom. g Schematic of multi-focus TPP system using a traditional DOE (left) and the MFM (right), OBJ objective lens, TL tube lens, L lens, DCT dispersion compensating telescope, DOE diffractive optical element. h SEM image of the printed flower array, scale bar: 100 μm. With a magnified view of one flower, scale bar: 20 μm. i Schematic diagrams of the DLW lithography system based on a supercritical metalens, PH pinhole, AT attenuator, M mirror, LP linear polarizer, CL conventional lens. j–l SEM images of the grating patterns are presented in sequence from left to right, featuring pitches of 680, 650, 620, 590 and 560 nm, respectively. These patterns, each with a length of 4 μm, are patterned using the FZL- (j), SCL05- (k), and SCL10- (l) based DLW system. a, b Reprinted with permission from ref. 236. Copyright 2005 Institute of Physics Publishing. c Reprinted with permission from ref. 238. Copyright 2023 De Gruyter. d–f Reprinted with permission from ref. 199. Copyright 2021 American Chemical Society. g, h Reprinted with permission from ref. 241. Copyright 2024 John Wiley and Sons. i–l Reprinted with permission from ref. 242. Copyright 2024 Institute of Optics and Electronics, Chinese Academy of Sciences
Menon et al.237 evaluated the focusing characteristics of the proposed diffractive lens for zone-plate-array lithography (ZPAL) by utilizing the point spread function (PSF) method. This lens comprises an array of phase zone plates with an NA of 0.7 and a focal length of 40 μm at a wavelength of 400 nm. It enables the generation of tightly focused dots on a substrate coated with photoresist, facilitating the printing of intricate patterns in an array format. The exposure of dense grating lines to different doses is conducted to assess the image contrast, which plays a crucial role in photolithography by influencing resolution and other parameters related to image quality like line edge roughness. Next, Chung et al.238 demonstrated an inverse-design axisymmetric metalens with high NA for improving the performance of ZPAL. Schematic depiction of ZPAL is shown in Fig. 15c. Specifically, a laser is utilized to illuminate a spatial-light modulator. Each individual pixel of the modulator manages the intensity of light for one metalens in the arrays. By adjusting the intensity of the focal spot, it becomes possible to create patterns of various shapes. The procedure involves utilizing adjoint-based optimization within forward and backward simulations to compute gradients related to all structural degrees of freedom. As a result, this inverse approach enables the creation of a metalens with a normalized focusing efficiency of 85.50% at a wavelength of 405 nm, surpassing the efficiency achieved by an effective index modulated metalens (79.98%). Similarly, Luo et al.239 proposed a lithography system based on DMD and metalens arrays. The combination of DMD and metalens arrays can provide higher resolution and more rapid and flexible control for the next-generation lithography technique.
Hadibrata et al.199 demonstrated an inverse-design metalens on a metafiber with an optimized focal spot about 100 nm, which could be used in the TPP system. The objective-first algorithm was applied to design a thin circular grating-like structure that transforms a parallel wavefront into a spherical wavefront. They integrated this metafiber into a homemade TPP system (Fig. 15d). The movement of the fiber tip was controlled via a multiple-axis piezo stage in both the x- and y-directions. Figure 15e shows a “NU” lettering sample pattern that fabricated by the system. Figure 15f shows the variation of the linewidth under different laser power. At a power of 6 mW, a minimum width of 220 nm coupling loss is achieved. It is worth mentioning that the commercial machine (Nanoscribe) for manufacturing the proposed metalens, can achieve a minimum line width of only 290 nm at a laser power of about 9 mW. Compared to commercial machine, this homemade device is able to produce smaller linewidths due to special optical design and optimization. Gontad et al.240 proposed a parallel TPP system based on diffractive optical elements (DOEs) to achieve high-throughput preparation of repeated patterns. The original laser beam was divided by DOEs into 3 × 3, 11 × 11, 51 × 51 and 101 × 101 parallel beams respectively, and the micron scale cone array was successfully fabricated. However, as the distance between parallel beams is smaller, the proximity effect becomes more pronounced. Specifically, the power required for the photopolymerization of a single beam is diminished due to the presence of resin interactions in the surrounding environment. Further research in the future could correct the proximity effect by designing DOEs that produces parallel beams of different intensities. Wang et al.241 demonstrated the metasurfaces-based TPP (M-TPP) technique for the first time, resulting in the construction of a miniaturized and simplified TPP system for efficient multi-focus parallel processing with high uniformity. In Fig. 15g, only a single high-NA multi-focus metalens (MFM) is required to replace various light field modulator components of a traditional multifocal TPP system based on diffractive optical elements, including the beam splitter, the dispersion compensating system, the beam expanding system, and the objective lens. It greatly simplifies the complexity of the existing system and achieves seven-focus parallel processing with high uniformity. The size of the replaced optics and their required optical range lengths are on the order of a few centimeters laterally and tens of centimeters axially. In comparison, the MFM has a transverse dimension of only ≈2.2 cm×2.2 cm and an axial dimension of ≈0.17 cm. The transmission efficiency of the metalens is 80.13% and the modulation efficiency is 73.28%, since some of the incompletely modulated light may be diffracted to unwanted angles. The uniformity of the FWHM is measured to be 95.15% for the seven foci, and the uniformity of the normalized total intensity is 95.21%. The experimental results demonstrate the excellent focusing uniformity of the MFM, indicating that the MFM is able to maintain high parallel processing uniformity. M-TPP can prepare 3D micro- and nano- structures with sub-diffraction-limited feature sizes, with the transverse and axial dimensions of the narrowest linewidths of 100.8 nm and 159.7 nm, respectively. 2D and 3D micro/nanostructure arrays are printed to showcase the arbitrary models manufacturing capability of M-TPP, Fig. 15h shows a flower array. Furthermore, a hexagonal arrangement for the microlens array (MLA) device is fabricated to assess the practical application potential of M-TPP. Substituting traditional objective lenses with metalenses offers benefits in terms of integration and functionality, by enhanced through MEMS and advanced inverse-design method will facilitate the broader use of metasurface platforms within TPP technique. Fu et al.242 demonstrated a supercritical metalens (SCL) operating at the h-line for high-resolution DLW, which can break the diffraction limit in the far field. They proved that SCL can perform high-resolution lithography at violet, due to SCL is precisely designed for DLW to maintain controlled side lobes to focus sharper and featuring the needle-like long DOF with smaller FWHM, compared to conventional Fresnel zone lens. They built the SCL DLW system based on a photon scanning tunneling microscope system (PSTM), as shown in Fig. 15i. The piezo and the motor stages in the PSTM system are used to move the samples during DLW. Three metalenses with the same diameter and NA value, FZL, SCL05 and SCL10, are manufactured respectively for comparison, where suffixes “05” and “10” represent the percentage of the first side lobe intensity to the central peak intensity. Then they evaluated the performance of the three metalenses for DLW, as shown in Fig. 15j~l, five sets of different pitches gratings are written with pitches of 680, 650, 620, 590, and 560 nm, from left to right respectively. As the pitch reduces and gets closer to the size of each focus, the distinction between two neighboring lines within the same set becomes shallower and more difficult to resolve, similar to what happens in imaging. The contrast of all patterns written by SCL (Fig. 15k,l) is better than that of FZL (Fig. 15j), so SCL has a stronger ability to write high-resolution patterns than FZL. The resolution of FZL, SCL05 and SCL10 is about 540, 500 and 480 nm, respectively, that is, the resolution of SCL is nearly 10% higher than that of FZL. Research of supercritical metalenses promises to expand to shorter wavelengths in UV or DUV or even EUV lithography, where supercritical metalenses can break the diffraction limit and break the bottleneck of traditional lithography technique which is restricted by the Moore’s Law. Recently, Gu et al.243 proposed an innovative parallelized TPL platform that replaces the single high-NA objective with a large array of high NA, polymer immersion metalenses. By utilizing a spatial light modulator to adjust the intensity of each metalens’ focal spot, independent control over the focusing strength of each metalens is achieved244. This enables the efficient writing of both periodic and aperiodic patterns on a large scale, with time scales and reduced stitching errors that exceed those achievable by traditional platforms.
In Table 1, we compared metalens based lithography systems with the current commercial lithography machines, including the latest and classic machines from ASML and Nanoscribe. It is obvious that the minimum feature size of the homemade metalens based TPP system in241 is nearly matched that of the latest machine of Nanoscribe. The future application of high-precision 3D motion platforms and the optimization of metalens will be able to further improve the resolution of the system. However, there has been no report on the metalens based lithography system applicable to semiconductor planar lithography in the DUV, VUV, even EUV bands. But thanks to the exploration of materials in recent years, metalenses operating in the aforementioned bands have been reported. Tseng et al.245 demonstrated a metalens consisting of zinc oxide (ZnO) nanoresonators that converts 394 nm input light into 197 nm focused light based on the second harmonic generation (SHG). The shape of meta-atoms is required to have C3 rotational symmetry for the SHG. Therefore, they chose a triangular design, which supports strong resonances. Compared to the input light, the metalens achieved a 21-fold power density enhancement. Yang et al.246 demonstrated a full diamond metalens consisting of nanopillars and operating at 266 nm, which achieved a focusing efficiency of ≈20%. Martins et al.247 demonstrated a metalens based on fused silica metagrating, operating at 175 nm, which achieved an average diffraction efficiency of ~53.3%. Ossiander et al.248 proposed a novel metasurfaces design, which utilizes holes as transmission waveguides and takes advantage of the low refractive index of Si as a cladding similar to the fiber. Then they fabricated a metalens operating at ~50 nm to focus ultrashort EUV light bursts, achieved a minimum waist of ~0.7 μm and a focusing efficiency of 48%. Cheng et al.249 simulated a full Al achromatic metalens consisting of cylindrical hole and operating at three wavelengths 46.9, 60, and 69.8 nm, which achieved an average focusing efficiency of about 5.5%. Mao et al.250 designed a full crystalline silicon (c-Si) metalens consisting of elliptical hole and operating at 50 to 65 nm, which achieved an average focusing efficiency of about 7%. Chen et al.251 simulated a reflective metalens consisting of multilayer films (silicon/scandium periodic bilayers) on the Si substrate, with a Si layer containing square holes on the top. The metalens operating at 50 nm, which achieved a focusing efficiency of 15.80%. Zárate-Villegas et al.252 designed a full Si metalens consisting of ring meta-atoms and operating at 50 nm, which achieved a uniform focusing efficiency of 83.6%. Wang et al.253 simulated a full Molybdenum (Mo) metalens consisting of cylindrical hole for generating 13.5 nm Bessel beam. Zhang et al.254 evaluated the material, thickness, period, cell structure of metalens operating at 13.5 nm. Then a Mo metalens consisting of cylindrical hole is fabricated using the optimized parameters, which reached the diffraction limit. Duan et al.255 simulated a Mo metalens consisting of cylindrical hole and operating at 13.5 nm, which achieved a focusing efficiency of about 27.8%. Then they simulated the complementary structure, the Mo disk. Results indicated that the Mo hole featuring higher focusing efficiency than the Mo disk under the same structure size. Also, the Mo metalens with various thicknesses Si3N4 or Si supporting film are discussed. Due to the need for supporting film, the focusing efficiency is reduced, but it can still maintain about 20% of the focusing efficiency. Although there have been no reports of metalens systems for lithography applications in these bands, it is believed that it can be realized quickly once appropriate materials are found.
Exploring the cosmos
More than 400 years since Galileo Galilei first pointed his telescope at the cosmos in 1609, the technological changes triggered by this groundbreaking discovery have profoundly affected and changed human view of the universe, with telescopes on the ground and in space able to survey the universe in full wavelength for a day without interruption. However, traditional telescope systems often rely on complex combinations of optical elements to achieve clear imaging of distant objects. The integration of metalenses has introduced transformative changes to this field. Metalenses, leveraging their unique nanostructured surfaces, can manipulate light with remarkable precision, offering a simpler and more compact alternative to conventional multi-element optical systems. Metalenses allows to focus light and form images without the need for the bulky curved lenses or multiple reflective surfaces in traditional telescopes. The result is a significant reduction in size and weight while maintaining, and in some cases enhancing, optical performance. One of the most promising aspects of metalenses is the potential to image directly using a single metalens without requiring additional optical components. This simplification not only reduces the complexity and cost of telescope systems but also opens up new possibilities for innovative optical designs.
Liu et al.256 demonstrated a planar Cassegrain-type objective with f = 3 mm based on metalens, operating at 780 nm. The primary mirror receives a vertically incident light ray, which is then reflected towards the secondary mirror, next, the secondary mirror reflects the light ray to converge at the focus point, as shown in Fig. 16a. After two consecutive rounds of reflections through the metalens, the initial CP incident light remains focused with its original circular polarization state. Within the wavelength range spanning from 660 to 820 nm, the Cassegrain metalens telescope exhibits a capability to focus incident light that possesses a left-handed circular polarization state. However, as a result of the metalens exhibiting negative dispersion, there is a reduction in focal length as the wavelength increases. In the Fig. 16b (row 1), using three kinds of slit objects (width of 100 μm, 75 μm, and 50 μm, respectively) to evaluate the imaging preference of the Cassegrain metalens telescope, and the corresponding images are shown in Fig. 16b (row 2). The experimental results indicate that the Cassegrain metalens telescope has the capability to capture images of objects with a resolution as fine as 150 μm. Yue et al.257 demonstrated a miniaturized Cassegrain telescope system (f = 300 μm) based on metalens that works at infrared wavelength (4 μm). The light path is the same as above. In order to take full advantage of the planar and metasurfaces optics, the precise positioning of the focal point is intentionally aligned with the exit pupil of the telescope system. The results obtained from the numerical analysis are depicted in Fig. 16c, indicating a significant focus at the exit pupil. This suggests that there is potential for integrating a flat CMOS detector array onto the rear surface of the primary mirror. Such integration would lead to a reduction in the system’s length along its optical axis and an improvement in overall compactness. In addition, the study investigates the optical capabilities of a Cassegrain metalens telescope made from SiO2 and different metals such as Al, Au, W (tungsten), Ti, and Ni. All Cassegrain metalens telescope exhibit a precise focus at the designated focal length position, specifically designed for a wavelength of 4 μm. The optical performance analysis of these metasurfaces includes evaluating the metal composition used in the telescopes. Different metal fractions result in varying peak intensities at the focus. Amongst W, Ni, Ti, Al, and Au materials tested, both Al and Au demonstrate superior optical performance compared to the other three metals in terms of reduced infrared wavelength range losses. Notably, Al exhibits lower optical loss than Au in the visible and ultraviolet wavelength ranges (<500 nm).
a Schematic of planar Cassegrain telescope. b Imaging results of the Cassegrain telescope. Row 1: Photographs of slit objects, from left to right, the center-to-center distance of the slit is 200 μm, 150 μm, and 100 μm, scale bar: 100 μm. Row 2: Images results, from left to right, corresponding to the slit objects in row one, scale bar: 200 μm. c The energy flux of the typical metasurface Cassegrain telescope is depicted. d Schematic representation of the monolithic integrated telescope, which is constructed using cascaded metasurfaces. e The target, a number “four”, which is generated by a USAF 1951. f Measured results of the monolithic integrated telescope imaging. g Photograph of the telescope based on the metalens which is capturing the moon. h An IR image of the moon is captured. The camera is equipped with a 4 f system that magnifies the image by a factor of 2×. i Photograph of the meta-astrophotography apparatus. Inset: schematic of the meta-astrophotography apparatus. j Imaging results of the Moon at its last quarter phase. k Photograph of the MDL based telephoto camera. l RGB photograph of the moon. m Photograph of the metalens integrated telescopic system. n Enlargement of the moon image in the central FOV. o The current aperture size of several of the largest metalens telescope systems, compared with that of the Hubble Space Telescope (HST) and the James Webb Space Telescope (JWST). a, b Reprinted with permission from256. Copyright 2020 De Gruyter. c Reprinted with permission from257. Copyright 2021 Multidisciplinary Digital Publishing Institute. d–f Reprinted with permission from258. Copyright 2023 American Chemical Society. g, h Reprinted with permission from95. Copyright 2023 American Chemical Society. i, j Reprinted with permission from97. Copyright 2024 American Chemical Society. k, l Reprinted with permission from260. Copyright 2025 American Institute of Physics Publishing. m, n Reprinted with permission from261. Copyright 2025 Springer Nature
Zhang et al.258 demonstrated a monolithic integrated (thickness of 1 mm) telescope operating at 632.8 nm based on cascaded metalenses, as shown in Fig. 16d. By appropriately selecting the focal lengths of these two metasurfaces, it is possible to construct an metalens telescope with a specific level of magnification. Additionally, this design enables a FOV for the telescope is 22.6°. The target is a number “four”, which is generated by the USAF 1951 resolution test chart. The measured length of the target, L1 in Fig. 16e, is 244.8 μm. The length of the image, L2 in Fig. 16f, is 60.9 μm. The telescope prototype achieved the functionalities with an 0.25× lateral magnification, which is equivalent to the 4× angular magnification, they successfully achieved the desired functionalities. Guo et al.259 proposed a two-step approach to design dual-band far-field super-resolution metalenses with varying aperture sizes, ranging from microscopic to macroscopic scales. By utilizing the concept of constructing the phase profile of a small aperture metalens, they generated the desired phase profile matrix for a large aperture imaging system. As a result, they successfully designed a 40-mm-diameter dual-wavelength confocal metalens with an extended focal length of 200 mm. The nanopillars array is strategically manipulated in terms of its radius and height to enable macroscopic far-field transmission and confocal imaging at two different wavelengths. Additionally, the heights and diameters of the nanopillars are alternately adjusted and extended from the center towards the periphery to achieve confocal imaging at both wavelengths. The metalens exhibits super-resolution imaging capability with focusing efficiencies of 76% and 64% when operating at 632.8 nm and 1265.6 nm respectively, as indicated by focal spot FWHM values (5.81 μm and 6.81 μm) that are lower than the theoretical value of 0.61λ/NA for each wavelength. The imaging capability of the confocal far-field is commendable when operating at a focal length of 200 mm. These findings present an innovative approach to develop practical applications for space telescope systems, specifically in designing the dual-band far-field large-scale super-resolution metalens.
Zhang et al.95 demonstrated an 80-mm-aperture metalens telescope operating at NIR region, enabling it to observe celestial objects like the Moon. Focusing measurements show that the metalens achieves diffraction-limited performance in the 1200 to 1600 nm range (Strehl ratio ~85%) at different focal lengths. The focusing efficiency reached a peak of 80.84% at 1450 nm. The minimum feature linewidth that could be resolved is 8.77 μm. Finally, the telescope system incorporates the metalens as its objective lens, as shown in Fig. 16g, revealing the geographic structure of the lunar surface as shown in Fig. 16h. The minimum resolvable feature size of the metalens telescope on the Moon is ~80 km. Park et al.97 demonstrated a 100-mm-diameter metalens with a focal length of 150 mm and 0.32NA for imaging the cosmos, operating at a visible wavelength. The metalens containing 18.7 billion nanostructures. The measured distance from the metalens to the focal plane is 149.97 ± 0.18 mm, demonstrating excellent agreement with the intended design focal length. In Fig. 16i, a wide field meta-astronomical photography system is built, only using a 100-mm-diameter metalens, a narrowband color filter, and a cooled CMOS image sensor. Then the system is used to image the celestial objects in the visible band, including sunspots on the Sun, the North American Nebula, and the Moon (Fig. 16j). Experiment results demonstrate the suitability of the 100 mm diameter metalens for long range imaging applications. Furthermore, they verified its ability to operate in extreme environments for space applications. Majumder et al.260 demonstrated a 100-mm-diameter multilevel diffractive lens (MDL) with a focal length of 200 mm, and 0.24NA, operating at a visible wavelength. The MDL containing ten thousand concentric rings. In Fig. 16k, they built up a telephoto camera, using the MDL, a custom mount, a focuser, RGB filter wheel, and a cooled CMOS sensor. They used the telephoto camera to image various images, including the Salt Lake City skyline, a full moon (Fig. 16l), and sunspots on the Sun (with a solar filter). Furthermore, the MDL was combined with a refractive achromatic lens to create a hybrid telescope, which substantially decreased the weight for imaging systems used in airborne and space-based platforms. Wang et al.261 demonstrated a 46.8-mm-diameter MDL, with a focal length of 91.5 mm, and operating at 632.8 nm. The traditional metalens, characterized by its hyperbolic phase profile, exhibits a high sensitivity to off-axis aberrations. This sensitivity poses significant challenges in achieving wide-field, high-resolution imaging. Thus, they design is based on the Chevalier Landscape lens, which involves incorporating a front aperture stop to control the aberration of marginal rays. As Fig. 16m shows, they built a telescope system, which can correct monochromatic aberrations in FOV of 20° that only consisted of an 18.2-mm-diameter aperture stop, a metalens, a narrowband filter, and a sensor. Using the central area of the FOV, they captured an image of the moon, which is shown in Fig. 16n. The imaging capability of the system is evidenced by the high resolution (7.3 lp/rad). This research confirms the effectiveness of utilizing large-diameter metalenses in applications such as scene imaging and the observation of space objects.
As depicted in Fig. 16o and Table 2, metalens based telescope systems are compared with scientific machines, including the Hubble Space Telescope (HST) (https://science.nasa.gov/mission/hubble) and James Webb Space Telescope (JWST) (https://science.nasa.gov/mission/webb). It is obviously that even the largest current metalens telescope still has a diameter ~92.5% smaller than a segment (hexagonal mirror) of the Webb telescope’s primary mirror (PM), due to the limitations of the manufacturing process of nanostructures. With the increasing demand for larger FOV and higher resolution in astronomical observation, the development of larger-diameter metalenses will be an important direction in the future. This will help to further improve the efficiency and accuracy of astronomical observation, and expand the scope and depth of observation. In the future, it is expected to develop metalenses applicable to different bands (such as UV, visible, IR, THz, etc.) and multi-modes (such as imaging, spectrum, polarization, etc.) to meet the needs of multi-dimensional information acquisition in astronomical observation and provide richer data support for astronomical research. The design of metalens involves not only optical properties, but also physical fields such as heat and force. In the space environment, temperature change, small vibration and other factors will affect the performance of the metalens, so it is necessary to consider the influence of multiple physical fields to carry out collaborative design and optimization. With the continuous progress of metalens design and manufacturing technique, its optical performance will be further improved, such as higher resolution, wider spectral coverage, and stronger anti-interference ability, to meet the needs of space telescopes for high-precision observation.
Microscopy and endoscopy
Interference contrast
Interference contrast microscopy employs the concept of optical interference to heighten the visibility of transparent samples. By introducing interference between two light beams with distinct wavelengths, this technology unveils the intricate details within the sample. A typical application is differential interference contrast (DIC) microscopy, which provides high contrast and a sense of three dimensions when viewing biological samples that are transparent and colorless. Specifically, the principle of differential interference contrast microscopy is based on polarized light and interference phenomena. It uses a polarized light source to divide the light into two orthogonal polarization directions. By utilizing a wave plate and a polarization prism as guides, the light is directed into the sample. As it passes through the sample, one of the rays undergoes a phase alteration, resulting in the formation of a phase discrepancy. When the two beams of light merge, they create an interference pattern that allows the fine structure of the transparent sample to produce a strong contrast.
Wang et al.262 introduced an ultra-compact isotropic DIC (i-DIC) microscopy, employing a novel metasurface-assisted method. This approach utilizes a unique radial shear interferometry pattern to transform rectilinear shear into rotationally symmetric along the radial direction, thereby facilitating single-shot imaging with isotropic capabilities. The results obtained from employing white light illumination in transmission mode for both anisotropic DIC (a-DIC) and i-DIC respectively, the a-DIC exhibits significant directional dependence, while the i-DIC is characterized by rotational symmetry and lacks directivity. Then, they analyzed the enhanced edge detection capability and present the results of a-DIC and i-DIC for the phase-only transparent logo of Harbin Institute of Technology (HIT) in Fig. 17a and Fig. 17b respectively. It is observed that i-DIC effectively reduces background intensity while capturing high-contrast edge images. Next, they proceed to characterize the capability of high-resolution imaging. Figure 17c and Fig. 17d show the imaging results of normal breast tissues and breast cancer tissues, respectively, using widefield microscopy and i-DIC microscopy. In comparison to widefield, i-DIC demonstrates enhanced clarity and stronger signals, indicating its exceptional sensitivity and precision in detecting transparent biological specimens. This innovative system opens up possibilities for miniaturized biological imaging and cancer screening applications, making it a potential compact plugin for integration into existing imaging systems.
a Image obtained from a-DIC microscopy of the HIT logo, featuring phase-only transparency. b Image obtained from i-DIC microscopy of the HIT logo, featuring phase-only transparency. c Images depicting normal breast tissue captured using widefield microscopy (left) and i-DIC microscopy (right). d Images depicting breast cancer tissues captured using widefield microscopy (left) and i-DIC microscopy (right). e Row 1: the input images. Row 2: the 1D edge detection images along the x-direction. Row 3: the 1D edge detection images along y direction. Row 4: their 2D edge detection images, scale bar: 1 mm. f Imaging results of fish tail cells at wavelengths of 632.8 nm, from left to right, including bright-field image, 1D DIC images in the x direction, 1D DIC images in the y direction, and 2D vectorial DIC microscopy image, scale bar: 100 μm. g Imaging results of fish tail cells at wavelengths of 532 nm, from left to right, including bright-field image, 1D DIC images in the x direction, 1D DIC images in the y direction, and 2D vectorial DIC microscopy image. a–d Reprinted with permission from ref. 262. Copyright 2023 Springer Nature. e–g Reprinted with permission from ref. 263. Copyright 2023 American Chemical Society
Wang et al.263 presented a novel approach for extracting 2D edge information from weakly scattering cells using a computational metasurface instead of the traditional Wollaston prism in DIC microscopy. This modification not only reduces the size of the system but also enhances its capabilities. By illuminating an object with LP light and passing it through the metasurface, could observe 2D edge detection through vector differential operations. Different patterns are used as targets (Fig. 17e, row 1). When the incident beam passes only through the path 1, could observe 1D edge images along the x direction on the CCD (Fig. 17e, row 2). Similarly, when the incident beam passes only through the path 2, could observe 1D edge images along the y direction on the CCD (Fig. 17e, row 3). Finally, when both paths are taken by the incident beam, could observe comprehensive and detailed 2D edge images on the CCD (Fig. 17e, row 4). Furthermore, the same principle allows to achieve broadband optical vector differential operations. The cell is imaged by the microscope at two different wavelengths, 632.8 nm (Fig. 17f) and 532 nm (Fig. 17g). While the bright-field image of the cell exhibits low contrast edges due to its pure phase nature, this issue has been effectively addressed and improved using the vectorial DIC microscope. By using PB phase, the computational metasurfaces DIC microscopy enables real-time, wide-ranging, and enhanced contrast phase imaging of living biomedical systems.
Quantitative phase
Quantitative phase microscopy is an unlabeled wide-field microscopy technology that measuring the phase changes caused by a sample. When a light passes through a sample, it experiences a phase delay because the refractive index of the sample is different from that of the surrounding medium. By measuring this phase delay, quantitative phase microscopy can quantitatively analyze the morphology and dynamic changes of samples264.
Kwon et al.265 demonstrated a metasurfaces-based single-shot quantitative phase gradient microscopy (QPGM), inspired by DIC microscopy. The QPGM has the capability to acquire 3 DIC images simultaneously, enabling the generation of a quantitative phase gradient image (PGI) in a single-shot. The concept of the QPGM consisting of two cascaded metasurface layers, as shown in Fig. 18a. The layer 1’s metasurface creates two sheared focuses for TE and TM polarizations, directing the field towards layer 2’s three metasurfaces. Each metasurface on the layer 2 forms an independent DIC microscope system with the layer 1’s metasurface, resulting in three DIC images exhibiting distinct phase differences between TE and TM polarizations. The combination of these two layers constitutes a QPGM. Firstly, they proposed a QPGM that consists of two layers of metasurfaces arranged in a cascade configuration. Subsequently, it is experimentally characterized using a USAF 1951 resolution test chart. The resulting PGIs for resolution targets with thicknesses of 105 nm, 207 nm, and 314 nm are depicted in Fig. 18b. The results demonstrate a noticeable enhancement in the phase gradient as the dimensions of the structures increase. Next, in order to further reduce the size of the device, they propose another QPGM based on double-sided metasurfaces that are monolithically integrated. Subsequently, experimentally evaluated its performance using the same parts of the resolution target as previously utilized, as shown in Fig. 18c. The obtained results indicate that the PGIs generated from the integrated QPGM exhibit comparable characteristics to those produced by cascaded QPGMs. Sardana et al.266 demonstrated a highly stable interferometer for common path off-axis digital holography, utilizing an ultracompact metasurface. This innovative interferometric imaging system enables the capture of image plane holograms in a single shot, facilitating the extraction of quantitative phase information from test samples to determine their physical properties. Wang et al.267 demonstrated the use of a dispersive metalens in quantitative phase imaging (QPI), which effectively introduces significant spectral dispersion to induce noticeable deviations in focal length. Their proposed approach is based on QPI using the transport-of-intensity equation, enabling non-motion optical zooming. By keeping the object and image planes fixed, they captured seven intensity images at different focus positions by varying the illumination wavelength. The performance of their QPI method exhibited remarkable accuracy in phase detection. Subsequently, they developed a compact meta-microscope by integrating this metalens with a CMOS image sensor, achieving excellent microscopic imaging results for unstained bio-samples.
a The concept of the QPGM consists of two cascaded metasurface layers. b The phase gradient images captured by QPGM based on separate metasurfaces for three parts of the phase targets, from left to right, thicknesses of 105 nm, 207 nm and 314 nm, scale bars: 15 μm. c The phase gradient images captured by QPGM based on monolithically integrated metasurfaces for the same parts in (b), scale bars: 15 μm. d Free space experiment under coherent light for a QR code object. Overfocused image (upper left). Underfocused image (upper center). Extracted QPI, the reconstructed phase profile of the object (upper right and lower left). AFM measurement thickness profile in the red frame (lower center). Comparison between QPI and AFM results (lower right), along the dashed line. e Free space experiment under incoherent light for a bear object. Overfocused image (upper left). Underfocused image (upper center). Extracted QPI, the reconstructed phase profile of the object (upper right and lower left). AFM measurement thickness profile in the red frame (lower center). Comparison between QPI and AFM results (lower right), along the dashed line. f Underfocused (left) and overfocused (right) images of different human embryonic kidney cell morphological, scale bar: 2.5 μm. g QPI results of human embryonic kidney cells with different stages. a–c Reprinted with permission from ref. 265. Copyright 2019 Springer Nature. d–g Reprinted with permission from ref. 153. Copyright 2024 John Wiley and Sons
Zhou et al.153 demonstrated a unique bifocal metadevice incorporating a polarized camera, drawing inspiration from the vision of eagles (dual-focus vision). This innovative device achieved this by capturing images for two different polarizations, each with slightly varying focal distances. The eagle-eye inspired meta-device for phase imaging (EIMPI) simultaneous capture images of overfocused and underfocused in a single snapshot, then relevant information regarding the quantitative phase of objects is efficiently obtained by employing the transport of intensity equation. Firstly, free space experiment demonstrated that the EIMPI not only works for incoherent light but also for coherent light. In Fig. 18d, featuring the QR code object. Under laser illumination (coherent light), both overfocused (upper left) and underfocused (upper center) images are captured and then the experimentally reconstructed phase profile of the object (upper right and lower left), also presented an AFM measurements (lower center), and a comparative analysis between QPI and AFM measurements (lower right). Under LED illumination (incoherent light), the resulting images (Fig. 18e) appear more uniform and exhibits fewer speckles. Next, EIMPI is paired with an inverted microscope to direct detection of thickness in live specimens within biological samples. The human embryonic kidney cells exhibit various stages, representing normal growth and metabolic activities. By capturing the underfocused and overfocused images (Fig. 18f), the reconstructed QPI images of top view and perspective view can be obtained, as shown in Fig. 18g. The obtained image resolution is ≈230 nm, which is close to the diffraction limit 220 nm. Given its straightforwardness and small size, it shows potential for substantial utilization in bioimaging applications, particularly when a portable and space-saving arrangement is crucial.
The dual-polarization multiplexed metalens is limited to capturing two images, whereas the varifocal metalens can capture multiple images, thereby offering enhanced precision in phase reconstruction. Min et al.268 demonstrated a 0.125NA varifocal metalens with a range of about 25 μm, which is based on polarization-dependent nanostructures269,270. A in-focus image and multiple defocused images are captured while the input source’s polarization state rotates from XLP to YLP, which avoids the mechanical movement. It should be noted that a 45° analyzer is introduced after the metalens to interfere TE and TM modes fields271. Then applied multiple-frame transport of intensity equation (TIE) algorithm to achieve the QPI. This varifocal metalens exhibits great robustness and maintains an average error rate below 2.7%. The phase experiences deterministic distortion as it passes through fiber cores, the QPI methods based on metalens reported in the past are not applicable to fiber. Shanker et al.272 demonstrated a 28° FOV metalens with an endoscopic fiber. Metalens exhibit significant axial chromatic aberration. However, in the context of endoscopic applications, this chromatic aberration has been leveraged for QPI. By employing spectral multiplexing, the electric field is extracted from multiple bright-field color images captured in a single instance. This approach overcomes the alignment or acquisition limitations typically associated with conventional QPI methods. Cheng et al.273 demonstrated a novel method to recovery phase from defocused images under industrial-level applications, which require accurate and fast phase information measurements. The precise phase can be achieved by optimizing the complex amplitude of the metalens from the experimentally collected defocused images using the complex gradient descent method. This method is highly robust and applicable to metalenses with different phase modulations. It has been demonstrated that both PB phase metalens and achromatic metalens can accurately recover phase information using this method.
Two-photon fluorescence
Two-photon fluorescence microscopy uses two near-infrared photons to be absorbed by fluorescent molecules in the sample at the same time, resulting in nonlinear absorption effects, thereby stimulating fluorescence. This phenomenon of nonlinear absorption ensures that the fluorescence excitation is predominantly concentrated at the focal point, leading to a significant decrease in background fluorescence interference and enhancement in imaging quality. As an advanced imaging technology, two-photon fluorescence microscopy plays an important role in biomedical research. Its features such as deep imaging, high resolution and low light damage make it an important tool for studying the structure and function of biological tissues.
Arbabi et al.274 presented a dual-wavelength metalens (DW-ML), which enables two-photon microscopy. The DW-ML possesses the ability to focus light at 820 nm and 605 nm wavelengths, corresponding to the excitation and emission wavelengths of the fluorophores being measured. Additionally, it maintains consistent focal distances for both excitation and emission wavelengths, adopting birefringent dichroic meta-atom to achieve this goal. Specifically, the DW-ML focuses the long wavelength’s horizontally polarized light and the short wavelength’s vertically polarized light to the same focal length. The diagram in Fig. 19a illustrates the configuration of a two-photon microscope utilizing the DW-ML, the DW-ML is employed to focus a light with a wavelength of 820 nm inside the fluorescent sample, while also to collimate the emitted light from the fluorescent sample with a wavelength of 605 nm. Using DW-ML as the objective in a two-photon microscope to image a polyethylene microsphere coated with fluorophore, approximately 90 μm in diameter, is performed to assess the performance of DW-ML. Figure 19b shows a regular image captured by the traditional objective, while Fig. 19c shows two-photon fluorescent images obtained using DW-ML. Additionally, Fig. 19d presents two-photon fluorescent images acquired using the conventional objective. The experiment demonstrated that the quality of two-photon fluorescent imaging achieved with DW-ML microscopy is comparable to that obtained with a conventional microscope objective.
a The diagram illustrates the configuration of a two-photon microscope utilizing the DW-ML. b Microscope image of a fluorescent polyethylene microsphere, scale bars: 10 μm. c Two-photon fluorescent microscope employing a DW-ML image of the same parts in (b). d Two-photon fluorescent microscope employing a conventional objective image of the same parts in (b). e Schematic of the miniature TPM platform setup with a metalens. Inset: photograph of the platform setup with a metalens. f Depth projection of two-photon mice euronal imaging results from 10 μm to 70 μm, scale bars: 10 μm. g Two-photon imaging results of mice neuronal dendrites at 20 μm, 30 μm, 40 μm, 50 μm, 60 μm, and 70 μm depths, scale bars: 10 μm. a–d Reprinted with permission from ref. 274. Copyright 2018 American Chemical Society. e–g Reprinted with permission from ref. 276. Copyright 2023 American Chemical Society
Sun et al.275 proposed a confocal metalens with both polarization-insensitive and dual-wavelength characteristics. This metalens is specifically designed for use in biological tissue environments, aiming to enhance the efficiency of two-photon fluorescence micro-endoscopy for deep tissue imaging with minimal invasiveness. It effectively focuses 915 nm light and collimates 510 nm light, which correspond to the excitation and emission wavelengths of the target’s fluorophores. Notably, this metalens maintains the same focal distance at both excitation and emission wavelengths. The theoretical simulation suggests a lateral resolution of up to 0.42 μm for two-photon fluorescence signals. Importantly, when compared to using the metalens in an air environment, there is a significant improvement in collection efficiency of fluorescent spots from 5.92% to 14.60%. Wang et al.276 presented a lightweight two-photon microscopy (TPM) probe weighing only 1.36 g. This probe utilized an integration scheme that combined optical elements (metalens) and mechanical components (MEMS), as depicted in Fig. 19e. The metalens possesses the ability to focus the excitation light and collimate emission light. They characterized the brain imaging capability of the TPM probe by using head-fixed mice. Figure 19f shows the results of brain imaging projections at depths ranging from 10 μm to 70 μm. Additionally, Fig. 19g illustrates the dendrite results at specific 20 μm, 30 μm, 40 μm, 50 μm, 60 μm, and 70 μm. Experiments demonstrate the capability of TPM probe to offer precise in vivo brain imaging for mice. This research highlights the immense possibilities of metalens technology in enhancing non-linear microscopy and endoscopy systems for recording brain activity and conducting biopsies.
Light sheet fluorescence
Light sheet fluorescence microscopy (LSFM) uses the design of the excitation light path perpendicular to the detection light path to form a thin layer of excitation light (light sheet) to excite the fluorescence sample from the side. Subsequently, the resulting fluorescence signal is detected from either the upper or lower part of the sample. This lighting method can effectively reduce the excitation of non-focal plane fluorescence, while the laser only illuminates one plane at a time, effectively reducing the irradiation time of the sample, thus reducing the influence of phototoxicity and photobleaching.
Luo et al.277 demonstrated the application of Moiré metalens in microscopy for fluorescence imaging, employing the HiLo principle. By adjusting the mutual angles between two complementary phase metasurfaces, the focal length of the Moiré metalens can be varied from approximately 10 mm to around 125 mm at a wavelength of 532 nm. This adjustment results in an average efficiency of about 40%. To achieve high-contrast multiplane fluorescence imaging, a telecentric configuration based on Moiré metalens with the HiLo principle is constructed, as depicted in Fig. 20a. By utilizing ex vivo samples of mice intestine tissue, the optical sectioning imaging capability of the microscopy was characterized. In Fig. 20b (row 1), ex vivo images of intestinal villi are presented, captured at 3 distinct depths under uniform illumination. It is evident that a visible haze can be observed in the images. However, employing the HiLo imaging process effectively eliminates this haze and produces optical sectioning images of villi as depicted in Fig. 20b (row 2). The presence of out-of-focus background noise is significantly reduced, enabling clear observation of well-defined structures within the villi.
a An illumination fluorescence microscope with a telecentric design and adjustable focus Moiré metalens is implemented in the experimental setup. b Images of intestinal tissue samples taken ex vivo at varying depths. Row 1: fluorescent images of villi under uniform illumination at three different depths, from left to right, including Δz = 0 μm, Δz = 40 μm, and Δz = 75 μm. Row 2: Images of villi at three corresponding depths are processed using the HiLo method, scale bar: 25 μm. c Experimental setup of the metalens-equipped LSFM system for imaging performance. d Fluorescence images of beads at various depths are captured while scanning the sample along the z-axis. e Experimental setup of the metalens-equipped LSFM system for in vivo imaging. In vivo images of green fluorescence emitted by the green fluorescent protein in a C. elegans with magnified views. f Bright-field image of the C. elegans. g LSFM image of the C. elegans. h Wide-field fluorescence image of the C. elegans. i Configuration of the RCNN framework for HiLo optical sectioning, including a comprehensive depiction of the DL model employed. j In vivo fluorescence images of mouse brain. Left 3 × 3 panel shows in vivo images of wide-field, ground truth, and model predictions at three different depths (the arrows in \({I}_{{uni}}\) point out the focused area), respectively. Right 3 × 2 panel shows corresponding \({I}_{{HiLo}}\) and \({\widetilde{I}}_{{HiLo}}\) zoom in results. a, b Reprinted with permission from ref. 277. Copyright 2021 American Chemical Society. c–h Reprinted with permission from ref. 278. Copyright 2022 De Gruyter. i, j Reprinted with permission from ref. 279. Copyright 2024 John Wiley and Sons
Luo et al.278 demonstrated a metalens for LSFM in vivo imaging. The utilization of the metalens enables a substantial reduction in the dimensions of the illumination arm within an LSFM, scaling it down from approximately 10 cm to match the operational wavelength scale. The imaging system, as depicted in Fig. 20c, is assessed for its imaging capability using fluorescent beads with a diameter of 15 μm. If the metalens is not present, there will be background noise from unfocused beads. This indicates that the optical sectioning capability is poor without light-sheet illumination. To confirm the system’s ability to produce 3D sectional images, fluorescence images of beads at various depths are captured while scanning the sample along the z-axis. As shown in Fig. 20d, distinct fluorescent beads can be observed individually across various layers, devoid of any out-of-focus background. Another imaging system, depicted in Fig. 20e, is employed to assess the metalens-based LSFM’s cellular level imaging capability using live C. elegans. Although the intestinal cells have their own fluorescent signal which can interfere with observation. However, in the metalens-based LSFM image, various cells can also be clearly observed. The embryos part of a living C. elegans is imaged. The image obtained by the bright field is shown in Fig. 20f. The high-contrast image obtained by metalens-based LSFM, as shown in Fig. 20g, can clearly distinguish the single nucleus of the late stage-embryos of C. elegans. The image obtained by wide-field fluorescence is shown in Fig. 20h. The experimental findings demonstrate the efficient provision of suitable illumination and the system’s potential for biomedical imaging applications through the utilization of metalens-based LSFM.
Chia et al.279 demonstrated an intelligent fluorescence endo-microscope which based on Moiré metalens and deep learning (DL), as shown in Fig. 20i. The utilization of the residual convolutional neural network (RCNN) deep learning model is implemented as a substitute for the traditional HiLo technology in order to streamline the process of acquiring optical sections. By employing a relative rotation angle, it becomes feasible to adjust the focal length of Moiré metalens with an impressive tuning range of approximately 2 mm. Firstly, by uniform illumination and HiLo process (single uniform illumination and single speckle illumination), reconstructed 3D volume images of perivascular spaces in different regions of the mouse brain are acquired, demonstrated the great optical sectioning capability of the endoscope. A single image captured under uniform illumination is enough, and a proficiently trained RCNN model can immediately generate high-contrast optical slice images in a single shot. By using a mouse brain to evaluate the in vivo imaging at different depths capability of the metalens-based endo-microscope, and the deep learning model is further verified. The in vivo images, including the uniform images \({I}_{{uni}}\), the truth Hilo images \({I}_{{HiLo}}\), and the predict images\(\,{\widetilde{I}}_{{HiLo}}\), are presented in Fig. 20j for three distinct depths. The perivascular space’s tracer and the background within vessels are easily distinguishable in the predicted images \({\widetilde{I}}_{{HiLo}}\). Experimental results indicate that the predicted Hilo images \({\widetilde{I}}_{{HiLo}}\) exhibit similar quality to the actual Hilo images \({I}_{{HiLo}}\). The potential enhancement of diagnostic accuracy in endoscopic imaging can be achieved through the adjustable focus of optical slices, while the integration of metasurface and neural network technology further enhances detection efficiency.
Coherence tomography
Optical coherence tomography (OCT) is an imaging technology that utilizes low-coherence light interference to non-invasively capture detailed depth information of the internal structure of biological tissues or materials. By scanning, OCT can generate 2D or 3D reconstructions of the internal structure, with signal contrast derived from spatial variations in the optical reflection (scattering) properties of these biological tissues or materials. Specifically, the core components of OCT include broadband light sources, interferometers (such as the Michelson interferometer), and photodetectors. The light emitted by the broadband light source is divided into two beams: one is used as a reference light, and the other is used as a probe light to shine on the sample. Two beams of light meet and interfere in the interferometer, and the resulting interference signal is received by the photodetector and converted into an electrical signal. By processing these electrical signals, it is possible to acquire depth information regarding the internal composition of the sample.
Pahlevaninezhad et al.280 presented a nano-optic endoscope, which incorporated a metalens to enable high-resolution in vivo optical coherence tomography. Endoscopic imaging is successfully demonstrated by connecting the nano-optic endoscope to a standard OCT system using a fiber optic rotary joint. To show the imaging capabilities of the proposed endoscope, a comparison is made between the nano-optic endoscope and traditional OCT catheters. Figure 21a illustrates the contrasting images acquired within a grape flesh. The quality of the image captured in Fig. 21a (lower) using the nano-optic endoscope is significantly better (revealing cellular walls becomes more distinct, facilitating the identification of cells with smaller sizes) than that captured in Fig. 21a (upper) using the ball lens catheter. Figure 21b shows the comparison of the images acquired in a swine airway. The quality of the image captured in Fig. 21b (lower) using the nano-optic endoscope is better (the visualization of the layers in the airway wall is enhanced, and there is improved clarity in observing the fine glands present in the bronchial mucosa, indicated by an arrow) than that captured in Fig. 21b (upper) using the ball lens catheter. Furthermore, they compared the surgically resected human lung tissue specimen ex vivo imaging quality and the pulmonary airways of sheep in vivo imaging quality, between the nano-optic endoscope and conventional OCT catheters. Additionally, the preclinical evaluation of the proposed endoscope indicated no significant flaws in the design for in vivo endoscopic imaging. The combination of the superior resolution and higher imaging depth of focus of the nano-optic endoscope can increase the clinical utility of endoscopic optical imaging.
a OCT images of grape obtained using the conventional OCT catheter (upper) with two magnified views, and the nano-optic endoscope (lower) with two magnified views, scale bars: 500 μm. b OCT ex vivo images of swine airway obtained using the conventional OCT catheter (upper) with two magnified views, and the nano-optic endoscope (lower) with two magnified views, scale bars: 500 μm. The fine glands in the bronchial mucosa are indicated by the arrow. c Microscopic spectral tomography images of frog egg cells at different wavelengths. d Utilizing the traditional method to image samples from the swine tracheobronchial tissue, scale bars: 500 μm. e Utilizing the BICI to image samples from the swine tracheobronchial tissue, scale bars: 500 μm. f–h Tissue imaging comparison, scale bars: 500 μm. f the conventional approach image with a magnified view. g the BICI image with a magnified view. h Corresponding histology image of the tissue with a magnified view. The arrowhead indicates perichondrium wrapping around cartilage. a, b Reprinted with permission from ref. 280. Copyright 2018 Springer Nature. c Reprinted with permission from ref. 281. Copyright 2019 Springer Nature. d–h Reprinted with permission from ref. 282. Copyright 2022 Springer Nature
Chen et al.281 demonstrated spectral imaging system based on an aplanatic metalens. This system utilizes significant chromatic dispersion to enable adjustments in spectral focus and optical zooming within the visible spectrum, thereby achieving non-motion high-resolution spectral tomographic imaging. This aplanatic metalens exhibits a significant separation between wavelengths, enabling it to effectively capture distinct DOF details of a 3D structured object. They utilized specimen from frog egg cells to assess the system’s ability in performing spectral 3D tomographic biological imaging. A series of images are captured using various wavelengths ranging from 500 to 560 nm, as depicted in Fig. 21c. With the increase in wavelength, there is a noticeable transition in the clarity of the images depicting the cell membrane and nucleus, going from indistinct to sharp and then returning to indistinct. It should be noted that due to their distinct sizes, the cell membrane and nucleus exhibit varying DOF. This method effectively utilizes the substantial diffractive dispersion capabilities of metalens, leading to the development of an innovative imaging technology.
Pahlevaninezhad et al.282 introduced a novel approach called bijective illumination collection imaging (BICI), which utilizes metalens to achieve high resolution 3D imaging across a wide depth range. A specific arrangement of the paths for illuminating and collecting light, ensuring an exclusive focal line-based mapping (bijection) between the illumination and collection processes. Using pulmonary airways from the swine to evaluate ex imaging capability of the BICI system. The images of airway tissue layers are captured using the conventional OCT method (Fig. 21d) and the BICI (Fig. 21e). The epithelium (EP), lamina propria (LP) and cartilage (CA) are clearly imaged in Fig. 21e. Also, a conventional method image (Fig. 21f), a BICI image (Fig. 21g), and a histology image (Fig. 21h) are compared. BICI offers more comprehensive information that exhibits a higher similarity with the histological image. Experimental results demonstrate that BICI effectively captures bronchial tissue structures with exceptional resolution, even at significant depths, in comparison to conventional method. Moreover, BICI maintains higher lateral resolution via a depth range and possesses the ability to eliminate signals from out-of-focus areas and back-reflections. The versatility of BICI makes it highly adaptable for various imaging modalities, showcasing its immense potential.
Meta-endoscopes drive the revolution in biomedical in vivo imaging
In the field of minimally invasive or bedside biomedical imaging, endoscopic imaging stands out as a prime example where WFOV, high resolution, and compact form are highly desired features. Traditional endoscopes often have a limited FOV, requiring operators to constantly adjust and refocus, which complicates the examination process and increases patient discomfort. These conventional devices typically use convex refractive lenses or gradient refractive index (GRIN) lenses, whose relatively large size hampers efforts to miniaturize endoscopic probes. Achieving WFOV in such systems is particularly challenging, often necessitating complex combinations of lenses or larger lens arrays. Metalenses can manipulate light with remarkable precision, providing a simpler and more compact alternative to traditional multi-element optical systems.
This section delves into the optical properties of metalens endoscopes, including FOV, focal depth, modulation transfer function (MTF) curve, and resolution. Metalenses can be designed to achieve a WFOV without the need for complex lens combinations, potentially allowing for more efficient and less cumbersome endoscopic imaging systems. Their compact form factor not only reduces the size of the endoscopic probe but also minimizes patient discomfort during procedures. Additionally, metalenses can be tailored to correct for optical aberrations inherently, something that often requires careful arrangement of multiple lenses in traditional systems. The focal depth and resolution achievable with metalens endoscopes are also significant advantages. The modulation transfer function (MTF) curve, which describes the lens’s ability to reproduce contrast at different spatial frequencies, can be optimized in metalens designs to ensure high image quality across the entire FOV. This optimization is crucial for accurate diagnosis and treatment planning in medical applications.
Moghaddasi et al.283 demonstrated a wide FOV of 165° NIR (940 nm) metalens capsule endoscopy with an MTF value of 0.2 at 250 lp/mm. The metalens serviced for narrow band imaging (NBI) at the 940 nm central wavelength, which has greater tissue penetration compared to the visible range. The performance of the metalens NBI system is comparable to that of white light imaging, which helps in more accurate diagnosis. They analyzed the effects of source bandwidths (12 nm and 32 nm) on the metalens FWHM, Streier ratio (SR), point spread function (PSF), and modulation transfer function (MTF). To achieve the wide FOV, they employed an image-space telecentric configuration, the 1.6-mm-diameter metalens with a 260-μm-aperture stop. The PSF describes the response of a focused optical imaging system to a point source or point object. Due to the nanostructures are optimized for narrowband spectrum, the PSF results at 32 nm bandwidth are worse than those at 12 nm bandwidth, especially at large angles. The average MTF of the system at a 32nm bandwidth and 250 lp/mm is 0.2, while at a 12 nm bandwidth and 250 lp/mm, the average MTF increases to 0.3. The metalens endoscope can provide the high resolution and contrast required for endoscopy. The metalens endoscope (Fig. 22c, d) offers higher resolution compared to the traditional endoscope (Fig. 22a, b). At 12 nm bandwidth, the Strehl ratio (SR) of system is greater than 0.75. At 32 nm bandwidth, the SR of system is greater than 0.56. This indicates that the system has a performance close to the diffraction limit over the entire 165° FOV. The metalens endoscope significantly better controls off-axis aberrations at large angle of incidence (AOI). The metalens capsule endoscope, with its compact design and outstanding image quality, is particularly suitable for such in vivo applications. Fröch et al.54 demonstrated a metafiber endoscope for real-time full-color imaging, the rigid tip length is reduced by 33% compared to the commercial GRIN lens endoscope. Due to the diameter and spacing of a single fiber core within the bundle limits the achievable image quality, FOV, and MTF, they proposed an optimization problem that can find the best scheme to achieve real-time full-color imaging within the constraints of the fiber bundle, which differs from the traditional method of designing achromatic metalenses and can also be extended to larger aperture sizes. Specifically, the volume under the multicolor (wideband) MTF curve is maximized as a quality factor during optimization and the quality factor is kept as similar as possible. Figure 22e, f shows the sagittal and tangential MTF curves of the optimized metalens at wavelengths of 400 nm, 450 nm, and 490 nm to 650 nm (step size 10 nm) from bottom to top, respectively. Four lines are drawn at each wavelength corresponding to 0°, 5°, 10°, and 15° incidence angles. They demonstrated real-time full-color imaging of biological samples by photographing the movement of a live caterpillar on a strawberry leaf with the metafiber (video rate of ~14 fps, working distance of ~10 mm). At a working distance of 10 mm, the FOV of the metafiber is 22.5°, while the FOV of the GRIN lens is 45°, however, the large DOF of the metafiber is ~30 mm, which can achieve better DOF perception compared to the DOF of the GRIN lens (~13 mm).
MTF diagrams at different AOIs of the traditional bulky lens endoscopy: (a) sagittal beams and (b) tangential beams, the orange dashed lines indicate the diffraction-limited MTF. c, d MTF diagrams at different AOIs (32 nm bandwidth) of the metalens endoscopy: (a) sagittal beams and (b) tangential beams, the orange dashed lines indicate the diffraction-limited MTF. The calculated MTFs for sagittal (e) and tangential (f) directions, respectively. For each wavelength, four lines are presented, corresponding to the four measured AOIs: 0°, 5°, 10°, and 15°. g The beam profile of the GRIN lens-based, the ball lens-based, and the metalens-based endoscopy. h–k Measured MTF diagrams at different depth points in the tangential and sagittal planes of the metalens-based endoscopy. l Measured (solid line) and simulated (dashed line) FWHM beam of the metalens and the refractive lens doublet as a function of the projection angle. a–d Reprinted with permission from ref. 283. Copyright 2024 De Gruyter. e, f Reprinted with permission from ref. 54. Copyright 2023 Springer Nature. g–k Reprinted with permission from ref. 280. Copyright 2018 Springer Nature. l Reprinted with permission from ref. 285. Copyright 2023 Society of Photo-Optical Instrumentation Engineers
Pahlevaninezhad et al.280 demonstrated a metalens endoscope for OCT in vivo with high-resolution at extended depth of focus. In order to avoid aberrations introduced by the protective sheath, they used ray tracing method, specifically, the optical path difference of each ray to zero, and analyzed and calculated the phase profile of the metalens to focus the light at the end of the fiber (as a point source) into a diffraction limit spot, avoiding spherical aberrations and astigmatism. At different wavelengths from 1250 nm to 1370 nm, the sagittal plane beam profile is almost the same as the tangential plane beam profile, which indicates that the astigmatism is negligible, and also proves that the dispersion design of the metalens allow the focus shifts axially with the change of wavelength. The GRIN lens and the spherical lens catheter have significantly larger astigmatism compared to the metalens endoscope, as shown in Fig. 22g, the focus profile of the metalens endoscope is nearly symmetrical, and the FWHM is significantly smaller. The MTF at different depth points are shown in Fig. 22h~k. The effective DOF (depth range of FWHM < \(\sqrt{2}\)FWHMmin) is 315 μm (sagittal) and 211 μm (tangential), indicating that the designed metalens has flexible dispersion and can be used to achieve high resolution imaging at extended DOF. It is worth mentioning that this result cannot be achieved using refraction lenses. Song et al.284 developed a large FOV of 500 μm NIR (780 ~ 860 μm) metalens-based probe con-focal micro-endoscope (pCM) with 300 μm working distance, capable of rapidly generating cell-resolution images of deep tissues over large areas. The results indicate that the metalens-based pCM substantially enhances imaging quality. The diameter of the single fiber employed corresponds to the spatial frequency of the fiber bundle at 143 lp/mm. Additionally, the optimized metalens-based pCM achieves an MTF value of 0.526 > 0.5, with improved aberration correction at the edges of the maximum FOV. This suggests that the primary limitation to the system’s imaging resolution is the inherent condition of the fiber bundle itself.
Xie et al.285 demonstrated a scanning fiber endoscope (SFE) based on metalens to minimize the length of the rigid tip and reduce aberrations at large off-axis angles. The optical sensing mechanism of scanning fiber is based on single point scanning. Specifically, the tip of the fiber gradually accelerates and begins to move in a spiral, when the speed of the optical fiber reaches the peak, gradually slow down to make the fiber tip return to the position. The metalens is used to project the beam emitted from the tip of the scanning fiber to the illumination plane. The depth of field and resolution of the system are determined by the longitudinal and transverse intensity distributions of the projected beam. The beam projected by the metalens has a longitudinal intensity distribution close to that of the Gaussian beam, and the waist of the Gaussian beam is located at the position of the metalens, which produces the smallest divergence angle at a certain beam width which ensures a large DOF of 15 mm. The spatial resolution of the system at different angles in the illumination plane is characterized by measuring the FWHM of the 1D transverse intensity distribution of the scanning beam. As shown in the Fig. 22l, the FWHM of the projected beam (at z = 15 mm) at different projection angles for the metalens and the spherical double lens. The FWHM of the metalens SFE beam at the center of the FOV (0°) is ~140 μm, and the tangential and radial bandwidth of the beam at the edge of the FOV (35°) is increased by 29% and 86%, respectively. In contrast, the spherical double lens SFE increased the tangential FWHM of the beam at the edge of the FOV by 187% due to the effect of spherical aberration, indicated that the metalens system not only has considerable resolution at the center of the FOV, but also outperforms the advanced SFE at the edge of the FOV.
As depicted in Table 3, we compared the optical properties between metalens endoscopes and commercial endoscopes. It can be seen that the current metalens endoscopes have the same or even larger FOV as the commercial endoscope, but there is no zoom function, which affects the depth of focus, and the function is relatively simple. The future research directions for the metalens endoscope can focus on the nonuniform illumination caused by the curvature of the cavity and the direction of the illumination light, which is currently solved using image enhancement algorithms such as the texture and color enhancement imaging (TXI)286. Multifunctional integration can provide multiple states in the same location, Li et al.287 designed an in vivo imaging endoscope with multifunction based on volume optics. The endoscope uses a micro lens-in-lens structure, where a high NA lens on the outside achieves high sensitivity fluorescence measurements, while a low NA lens in the center provides a large depth of focus to support OCT measurements. This design concept is similar to the principle of spatial multiplexing, which provides a new direction for the research of multifunctional metalens endoscopes. Narrow band imaging (NBI) technology, which is suitable for a variety of scenes, is increasingly needed. While conventional white light imaging uses a broad spectrum of visible light to illuminate mucosal surfaces, NBI technology focuses on imaging the peak absorption wavelengths of specific tissues. For example, hemoglobin has significant absorption properties for specific wavelengths of blue light (415 nm) and green light (540 nm)288, which are absorbed by blood-containing tissue and then reflected back from the surrounding mucosal tissue. Because the wavelength of light is positively correlated with its penetration depth, blue light mainly highlights the superficial mucosal structure, while green light can penetrate deep into the mucosa and submucosa. Therefore, the images generated by NBI technology can create a sharp contrast between the vascular system and the mucosa, thus significantly improving the visualization effect of the mucosal micro surface and vascular patterns. Real-time zoom to display clear images is also the future development direction of metalens endoscopes, Recent years, metalenses achieve continuous zoom or tunable focus mainly based on thermal, electrical, mechanical, and polarization modulation. Thermal modulation and electrical modulation289,290,291,292,293 controls the phase through changing the refractive index of the material. Mechanical modulation controls the phase through displacement294,295,296,297, rotation121,298, and stretching165,299. Polarization modulation268,269,270,300 changing the polarization state of the incident light. Due to the uncertainty of polarized light propagation in the fiber, MEMS-based metalenses are more suitable for real-time zoom, thanks to the maturity of TPP technology301,302,303,304,305, MEMS can be printed on the chip surface. This is especially compatible with a new generation of endoscopes that use the CMOS as a photosensitive element, and the combination of metalens, MEMS can further reduce the size required for optical systems, as it replaces the complex group of microlenses in front of the sensor. The integration of metalenses into endoscopic systems offers several advantages, including miniaturization, deep tissue imaging capabilities, a larger FOV, and higher image quality. The hybrid system effectively overcomes the limitations of traditional medical optical components with large volume and complex structures, and lays a foundation for the advancement of medical imaging technology in the field of disease detection, diagnosis and monitoring.
According to the working principle, five types of micro/endoscope systems based on metalens are reviewed, metalenses have enhanced the performance of differential interference contrast microscopy, quantitative phase microscopy, two-photon fluorescence microscopy, light sheet fluorescence microscopy, and optical coherence tomography. These advancements include improved contrast, resolution, and the ability to capture detailed images of transparent or fluorescent samples. In addition, metalenses utilized in other fields of microscopy have also been studied, including: spectrally gated microscopy50, photoacoustic microscopy306, quantum microscopy49,307, etc. In the field of endoscopy, metalenses show the potential to drive breakthroughs in biomedical in vivo imaging, a technological advance that is expected to provide substantial support for disease diagnosis. Metalenses offer a compact alternative to traditional lenses, providing wide FOV, high resolution, and aberration correction without complex lens arrangements. Future prospects involve further improving metalens performance, integrating them with multiple imaging modalities, miniaturizing systems for portability, incorporating AI for intelligent analysis308,309, and expanding clinical applications.
The environmental suitability of metalens or metasurfaces
Metalenses are ultra-thin, flat lenses that manipulate light using nanostructures. They offer significant advantages over traditional bulky lenses, such as enhanced focusing, imaging, and light manipulation capabilities. However, transitioning from laboratory research to commercialization requires addressing several critical factors. It is necessary to ensure that the metalens have high robustness to adapt to harsh environments, which include sand and dust, oil contamination, extreme temperatures, stress, radiation, etc. Certainly, environmental friendliness of the metalens also needs to be taken into consideration310. Currently, studies in the field of metalenses have been carried out in various environments, from underwater to space. These studies aim to develop metalenses capable of meeting the demands of various industries, from consumer electronics to aerospace. The journey from laboratory to market involves enhancing durability, ensuring environmental sustainability, and expanding applicability across different environments. These approachs will drive the successful commercialization and widespread adoption of metalenses in numerous fields.
The metaendoscope will produce stains on the metasurface when it has direct contact with the biological body, including liquids and particles. This will affect the propagating phase and thereby the imaging effect. The self-cleaning properties of TiO2 give it great potential to commercialize TiO2-based metalens endoscopes. Wu et al.311 demonstrated a TiO2-based self-cleaning achromatic metalens. Figure 23a~c are the SEM images of the sample after fabricated, contaminated with stearic acid and cleaned, respectively. As shown in Fig. 23d, the focusing efficiency of the contaminated metalens is significantly reduced, with the average focusing efficiency decreased by 10% compared to the fabricated and cleaned focusing efficiency. Then the contaminated metalens (Fig. 23b) is placed in the deionized water, which become hydrophilic and photoactive under the illuminating of UV light. After 30 min of illumination, the stearic acid on the metalens is removed (Fig. 23c) and is as clean as it was after fabrication (Fig. 23a). In addition, the cleaning effects of fingerprint contaminants are compared between acetone immersion and UV illuminating. The results show that the contaminants insoluble in organic solvents can be removed by UV illuminating. The integration of metadevice and photochemistry has addressed the long-standing issue of contamination in optical components. Also, the self-cleaning capabilities are related to the wetting properties between a water droplet and the surface. Liu et al.312 demonstrated a GaN binocular metalens for underwater depth-sensing and imaging. As shown in Fig. 23k, the nanostructures featuring super-hydrophobicity properties that enable an antiadhesion, stain-resistant, and self-cleaning novel underwater imaging device. Rombaut et al.313 evaluated the static contact angle (CA), sliding angle and contact angle hysteresis (CAH) of a low surface tension fluorosilane self-assembled monolayer before and after application on the metasurface. The CA of the metasurface increased from <10° to >150° with a low sliding angle (≈6°) and low CAH (< 8°), indicating a highly significant roll-off effect. Result indicates that the metasurface can achieve super-hydrophobicity and self-cleaning properties through physical nanostructures and chemical functions.
SEM images of the TiO2 metalens as-fabrication (a), after chemical contaminants (b), and after self-cleaning (c), scale bar: 10 μm, with corresponding focus efficiencies (d) under different incident wavelength. e The focusing efficiencies of the diamond metalens before and after treatment in different chemical, including 2 h immersion in KOH, H2SO4, a mixture of HNO3 and HCl, as well as the grit blasting processing. f SEM images of four representative materials (ZnO, GaN, Si, and diamond) before and after the same grit blasting processing. g Extreme environment testing process (thermal stress cycle) with an all-glass metalens with a diameter of 1 cm. h SEM images of the metalens before and after 15 cycles of the thermal stress cycle. i Microscope images of the metalens before and after 20 min vibrational stress. j The focusing FWHMs of the metalens at different frequencies under different hydrostatic pressure. k Photograph of the contact angle of a water droplet on the surface of the metalens (with nanostructures). Inset: photograph of the contact angle of a water droplet on the surface of the substrate (without nanostructures). l Schematic of thermal drift effect of 4H-SiC metalens (left) and conventional objective lens (right). Cross-sectional images (bottom) show the cutting performance on a 4H-SiC substrate before and after 60 min operation. a–d Reprinted with permission from ref. 311. Copyright 2020 John Wiley and Sons. e, f Reprinted with permission from ref. 246. Copyright 2024 John Wiley and Sons. g–i Reprinted with permission from ref. 97. Copyright 2024 American Chemical Society. j Reprinted with permission from ref. 315. Copyright 2022 Elsevier. k Reprinted with permission from ref. 312. Copyright 2023 American Chemical Society. l Reprinted with permission from ref. 317. Copyright 2024 John Wiley and Sons
Yang et al.246 evaluated the suitability of metalens under corrosive environment, including soaking in KOH, H2SO4, and the mixture of HNO3 and HCl, respectively. After being soaked for 2 h, they characterized the focusing performance of the metalens. As shown in the Fig. 23e, the focusing efficiency remained similar at approximately 20%, which proved its ability to withstand corrosion. Also, they evaluated the suitability of metalens under abrasive environment. Four representative materials, ZnO, GaN, Si, and diamond, were grit blasted for 5 min respectively using white corundum. As shown in Fig. 23f, the surface morphologies of these materials before and after the process are compared. It is evident that the diamond’s surface remains intact due to its exceptional hardness, whereas significant damage is observed on the surfaces of the other three materials. Additionally, as Fig. 23e shown, the focusing efficiency of the diamond metalens also remained approximately 20% after sandblasting. Cao et al.314 demonstrated a pure reduced graphene oxide (rGO) ultrathin flat lens and evaluate the suitability it in harsh environments, including the low Earth orbit (LEO) space environment, chemical environment, and biochemical environment. According to NASA’s standard they simulated the lens in the harsh environment of LEO, these include dramatic temperature changes (24 h at 200 °C followed by 1 h at about −196 °C), intense radiation (24 h under UV light), vacuum (24 h a vacuum chamber with a 0.01 Pa pressure), and atomic oxygen (5–60 s of exposure to high-kinetic AO particles, where 1 s corresponds to 5.5 h under LEO conditions). Except in the AO environment, the lens is slowly and uniformly etched until it fails, but in other environments the focusing effect of the lens is basically unaffected, which indicates the potential of the lens to maintain focus until all rGO layers are detached from it. The focusing performance of the lens is not changed in harsh chemical environments, including strong acid (H2SO4 solution with pH=0 for 7 days) and strong alkali (KOH solution with pH = 14 for 7 days). The rGO lens, immersed in phosphate-buffered saline (PBS) solution for 24 h, also demonstrated unaffected focusing performance in biological research. The graphene flat lens exhibits remarkable environmental stability and is capable of preserving their structural integrity and superior focusing performance across a range of testing conditions. Park et al.97 demonstrated a full glass metalens for imaging the cosmos which is suitable for remote imaging applications, where the metalens will undergo extreme temperature changes, vibrations, and radiation. To evaluate the suitability of the metalens, they developed a thermal shock and heat stress cycling test that similar to the standards of the U.S. Department of Defense Test Method (MIL-STD-883F). Thermal shock refers to the situation where the sample undergoes several thermal shock cycles between the hot reservoir and the cold reservoir. As shown in Fig. 23g, the 1-cm-diameter metalens sample is immersed in liquid nitrogen (LN2) which functions as a cold reservoir (–195.8 °C). To ensure reaching thermal equilibrium, the sample need to bath in LN2 bath for 10 min. Then, within 5 s after being taken out from the LN2, the cooled sample is placed on a hot plate set at 200 °C. The sample stays on the hot plate for 10 min to achieve thermal equilibrium again. The sample is then immersed in the LN2 within 5 s after it has been taken off from the hot plate, and this cycle is repeated a total of 10×. Figure 23h reveals that there is no apparent physical damage even after 15× thermal shock cycles. This is attributed to the fact that fused silica has a low impurity content and a near-zero coefficient of thermal expansion, which causes the radius of the metalens to shift by only about 0.01% within a temperature range of approximately 400°C. Additionally, the thermo-optic coefficient of fused silica is also very low. Therefore, they expected that within the test temperature range, the impact of thermal changes on the optical performance of the all glass metalens is negligible. Next, the metalens was immersed in isopropanol and put in an ultrasonication bath for 20 min at the highest power setting. Figure 23i reveal that there is no apparent physical damage, as no nanopillars are seen to have broken off from the substrate. This result demonstrates that the fused silica metalens can effectively withstand the stress caused by vibration. In the future, metalens optimized for the vibration frequencies during rocket launches and on equipment platforms are expected to be applied in space exploration missions.
Ren et al.315 demonstrated a holey metalens for underwater acoustic focusing. To evaluate the stability of the proposed metalens under hydrostatic pressure. The acoustic focusing ability of the metalens at 10–30 kHz under the hydrostatic pressure range of 0–1.5 MPa. As shown in Fig. 23j, the FWHM of the focus spot at each pressure under the same frequency remains basically constant. The results show that the holey metalens has good underwater pressure resistance. Zhu et al.316 proposed a stepped shape metasurfaces with good high-temperature stability for CSP systems. The cell structure from the inside to the outside consists of a silicon pillar, a Mo layer and a SiNx layer. The metasurface protected by the SiNx layer, has been experimentally demonstrated to maintain its characteristics after undergoing extreme high-temperature processes. These processes include annealing at ~1000 °C in an argon environment for 6 h and exposure to air at ~800°C for 1 h. Chen et al.317 demonstrated a 4H-SiC metalens for high-power laser irradiation318,319,320. Under the irradiation of 1030 nm pulsed laser at 15 w for 1 h, the temperature rise of the metalens is only 3.2 °C, which is about 6% compared with the temperature rise of 54.0 °C of the conventional objective lens. The focal plane shift of the metalens is only 13 μm, which is about 6% compared to the 21 μm focal plane shift of the traditional objective. This excellent thermal performance further highlights the excellent stability and consistency of 4H-SiC metalens over long periods of operation, even in harsh thermal environments without cooling components. When using this laser to cut a 4H-SiC substrate, the cutting depth change of the metalens after working for 1 h is 11.4% compared with that of the conventional objective lens, as shown in Fig. 23l. Results reveal that SiC photonic devices are nearly unaffected by thermal absorption, which is a huge leap forward for the future development of high-power laser systems. Peng et al.321 demonstrated a AlN metalens for ultrafast laser fabrication. To evaluate the focusing performance of the metalens before and after heating, it was heated for 1 h at 500 °C in a furnace filled with argon gas. The FWHM and MTF curves of the metalens are nearly the same before and after treatment, indicating that the performance of the metalens was not significantly reduced after high temperature treatment. They also tested the performance of the metalens at 1000°C and also demonstrated a focusing effect. The results show that the AlN metalens has excellent thermal stability. The metalens is further applied to ultrafast LDW, under the irradiation of a light source with a wavelength of 266 nm and an average power of 6.3 mW. The photoresist coated silicon substrate was ablated under 10 pulses, achieved a nearly perfect circular hole in the silicon substrate with a diameter of 1.5 μm. Even after several hours of repeated experiments, the fabricated structural features did not show obvious degradation, indicating that the AlN metalens has great durability against continuous laser irradiation.
The application of different materials of metalenses in specific environment is briefly reviewed. In the future, its commercialization not only needs to rely on the further research in the field of metaoptics, but also needs to combine the cross-integration of materials science and other multi-disciplines. The commercialization process of metalenses requires further optimization of material selection and structural design to meet the specific needs of different environments.
Future outlook and conclusion
The challenges of commercialization
As the third-generation optical lens element, metalens shows a good development prospect because of its sub-wavelength thickness and ultra-light characteristics and arbitrary parameter control of optical wavefront. Many companies have developed various applications based on its advantages: Metalenz and STMicroelectronics teamed up to deliver a next-generation FlightSense™ time-of-flight (ToF) ranging sensor, the VL53L8 (https://metalenz.com/metalenz-and-stmicroelectronics-deliver-worlds-first-optical-metasurface-technology-for-consumer-electronics-devices), Canon demonstrated metalens technology at its Expo (https://news.mynavi.jp/article/20231019-2796140), MetalenX released the world’s first wide-spectrum visible light aberration-free meta-hybrid optical system prototype lens (https://www.metalenx.com/xinwenzhongxin/274.html), Leadoptik has collaborated with Stanford Medicine on research aimed at promoting the application and development of AI-enhanced real-time optical imaging technology in the field of lung cancer biopsy (https://www.prnewswire.com/news-releases/stanford-medicine-and-leadoptik-announce-research-collaboration-to-advance-ai-enhanced-real-time-optical-imaging-in-lung-cancer-biopsy-302405553.html), 2Pi Optics unveiled first commercial fisheye camera based on metalens technology (https://petapixel.com/2024/01/04/2pi-optics-reveals-the-worlds-first-fisheye-metalens), Lumotive released the Programmable Optics powered by light control metasurface technology (https://lumotive.com/technology), etc.
However, there are still many challenges on the road to the commercialization of metalens. The first and foremost issue is the cost problem. Specifically, the existing manufacturing methods for micro-nano structures have relatively low output in mass production. The currently recognized high-throughput manufacturing method for metalenses is through NIL technique. Further research is needed on the abrasion of nanostructures of the master plate and the removal of residual polymers after each imprint, and also how to work with existing die stamping equipment for standardized production. Secondly, the errors occur during etching. Although photolithography technique theoretically offers high resolution, due to subsequent manufacturing processes, some minor secondary structures fail to form, which leads to a decline in work efficiency87. Moreover, due to the imperfect etching process, the fabricated structures exhibit inclined side walls, making it difficult to achieve the idealized structures. To solve these problems, the etching uniformity can be further improved by better controlling the temperature distribution and plasma uniformity in the etching chamber97. It is necessary to further study metalens response to manufacturing process variation322,323,324,325. Thirdly, the errors occur during patterning, especially for large-area metalenses. As mentioned earlier, the whole pattern of large-area metalens requires multiple masks through repeated stitching and rotation. Marks placed outside the exposure area of the metalens are used as the global alignment key97, both the alignment and exposure processes are determined and processed by the computer according to the pre-programmed plan. Some merged patterns can be found in the stitching area95 with an error of less than 1 μm. Malformation of the nanopillars at the stitch joints and displacements between neighboring partitions are studied96, results show that when the stitch deviation <1 μm, the local nanopillar malformation can cause a transmission deviation of up to 19.2% and phase error of up to 0.52 [2π rad] of the nanopillars at the stitch joints, the displacements between neighboring partitions have a negligible impact on the imaging performance of the metalens. Therefore, precisely manufactured alignment marks and the corresponding program optimization for alignment are necessary. Some other issues including: lack of scalability (modular design may be needed, and corresponding modules can be selected for production based on customer requirements) and the environmental suitability (the journey from laboratory to market involves enhancing durability, ensuring environmental sustainability, and expanding applicability across different environments).
Develop new design and evaluation methods for metalenses
Metalenses have made significant breakthroughs in the past decade. With the increase in the size and complexity of functions, traditional forward design is no longer adequate. Inverse design aims to optimize the design through algorithms, including topology algorithms326,327,328,329,330,331, evolutionary algorithms133,171,332,333,334,335, deep learning336,337,338, and some new design frameworks for optical metasurfaces are proposed167,339,340,341,342,343. Algorithms developed in the academic research are usually used for their specific designs. Therefore, there is an urgent need in the industry for a commercial design tool for automated metalens design. For example, MetaOptic Designer, developed by Synopsys, is the first fully automatic metaoptical commercial solution that provides inverse design functionality344,345.
Most metalenses are based on wave optics design, while most traditional lenses are based on geometric optics design. The current uncertain evaluation criteria make it difficult to compare them with traditional optical components346. Therefore, in the field of scientific research, it is necessary to formulate clear norms and detailed measurement processes to ensure efficient and unified evaluation results among different optical theoretical frameworks, some studies in recent years including: the definition of focusing efficiency of metalenses347, the co-design of a hybrid system with metalenses and conventional optics348, the optimization design of metalens-based system using the ray tracing method (Zemax)53,61,261,265,280,349 the introduction of metasurfaces into analytical lens design210, the fundamental limits and design principles of doublet metalenses350,351, the trade-off between FOV and thickness of the metalens352, the trade-offs between NA, FOV, coma, chromatic aberration, and size of the metalens353, the phase characterization of metalenses354, the design strategies (fundamental physics, and optimization) for metasurfaces355, the solution for metalens’ focal shift effect356, the generalized Snell–Descartes laws from Fermat’s principle357, etc.
Research prospects in emerging fields
Thanks to the precise manipulation of light, metalenses are also expected to be used in other optical systems, such as multimode cavity optomechanical system358, cyclic four-mode optical system359, MIR gas sensing optical system360, long-wave infrared imaging system361, etc. In recent years, although the technique of unmanned aerial vehicles (UAVs) has developed rapidly, the issue of endurance remains a pain point in the industry. Laser wireless power transmission provides a powerful technical solution for improving the endurance of unmanned aerial vehicles, Meng et al.362 proposed a metalens with floating multi-focus for airborne laser wireless charging. Reducing the weight of the on-board equipment of UAVs can also enhance their endurance. Chen et al.363 demonstrated a metalens-based camera (a metalens integrated with a CMOS sensor) and mounted it with a drone.
At present, self-driving cars generally rely on complex and bulky multi-sensor fusion systems to collect high-precision multimodal perception data, thereby achieving both target detection and depth perception functions simultaneously. Specifically, these vehicles are usually equipped with multiple cameras (providing image data) and sensors (focusing on the collection of distance and depth data). The light detection and ranging (LiDAR) is a surveying method that emerged after the development of radio detection and ranging (Radar)364. Mems-based LiDAR can avoid the negative impacts of mechanical scanning systems, such as friction and wear, while maintaining the advantage of scanning moving parts365. Fu et al.366 demonstrated 1D line-scan metalens integrated with MEMS actuator for depth sensing, achieving a balance between raster scanning and flash illumination. However, LiDAR based on metasurfaces, due to its reliance on external light sources, increases potential costs and complexity, which may be regarded as a drawback in some applications. In recent years, direct detection through passive metalens imaging has also been studied. Conventional positioning techniques, such as the Global Positioning System, are not suitable for high-precision indoor positioning due to signal shielding caused by building structures. Li et al.367 proposed an indoor positioning technique with millimeter-level accuracy based on metalens integrated cameras, this method determines the position of the equipment through the imaging of beacon LEDs. Simultaneously obtaining the multi-dimensional light field information of the scene usually requires a complex and bulky system. Shen et al.368 demonstrated a monocular camera with a metalens that can capture 4D light field information of a target scene in a single capture under both static and dynamic scenes in both indoor and outdoor environments, including 2D all-in-focus intensity, depth, and polarization details.
Further, the combination of metalens and artificial intelligence technology may be the future trend.369 Dynamic blurring will inevitably occur when the metalens is used for real-time photography, such as for vehicles in driving, cameras in motion, etc., which requires a powerful deep learning network370,371,372,373,374,375,376 to patch these images and obtain considerable resolution, This will provide a new way for biomedical imaging, video surveillance, aerospace and other applications. Due to the volume requirements, a single metalens imaging can only obtain limited light field information, incomplete depth information or visual deception will lead to cognitive errors. Depth perception377,378 can be achieved by combining metalens with deep learning. Liu et al.379 demonstrated an edge-enhanced depth perception system with a binocular metalens for spatial computing. For disparity computation, a stereo-matching neural network is proposed. Also, Liu380 developed a stereo vision metalens imaging system for assisted driving vision, which supports comprehensive perception capabilities such as imaging, object detection, instance segmentation, and depth estimation. The premise is to be able to effectively distinguish between targets and the noisy and complex381,382. This will provide a new way for automatic driving, machine vision, feature recognition and other applications. Further, the combination of metalens and deep learning383,384,385,386 will make breakthroughs in imaging quality, tracking accuracy, robustness and real-time performance, track the object even under the occlusion and appearance variations effectively.
Significant progress has been reported in the research on the quantum optics field by metalenses or optical metasurfaces, including the arbitrarily structured quantum emission387,388, the high-dimensional and multiphoton quantum source389, the generation of spatially entangled photon pairs390, the on-demand spin-state manipulation of single-photon emission391, the entanglement between spin and orbital angular momentum of single photons392, the entanglement and disentanglement of two-photon state393, the multiphoton interference and state reconstruction394, switchable optical edge detection395, etc.
Another remarkable research frontier area is the application of metalenses or optical metasurfaces in the field of lightsail396. It offers an attractive opportunity to explore nearby star systems during the lifetime of humanity. The laser sail spacecraft is a type of spacecraft that uses the light pressure of lasers for space travel. It is an upgraded concept based on the solar sail spacecraft. Lin et al.397 introduced the physical principles underpinning lightsail spacecrafts. Zhang et al.398 developed formulated a series of generalized stability criteria using the metasurface laser-driven sail concept, thereby offering a theoretical foundation for analyzing the stability of the laser-driven sail system across different optical principles. Chang et al.399 demonstrated the broadband, high-reflectivity advanced lightsail based on photonic crystal and metasurface architectures. Taghavi et al.400 proposed a reflective active metasurface designed to dynamically counteract deformation effects and enable beam steering for communication between various light sails in interstellar space. Gieseler et al.401 studied a curved metasurface with low absorption, high reflection and self-stabilizing characteristics, and found that small curvature changes far from the beam action area could significantly improve the stability of the lightsail. Salary et al.402 proposed a viable solution, the passive beam-riding stability, efficient acceleration, and radiative cooling photonic metasurface, allowing it to work at relativistic speeds over the Doppler-broadened propulsion band.
Conclusion
In summary, we reviewed the manufacturing techniques of metalenses, their applications in non-imaging and imaging optics fields, as well as the challenges they face and future development prospects. Metalenses have shown great potential in multiple optical fields due to their high degree of freedom in wavefront control of light. The manufacturing section covers various methods such as masked lithography, maskless lithography, and additive manufacturing, and further discussed in detail about their applicable scenarios and limitations. In terms of application, metalenses can be used in non-imaging optics to shape the beam, enhance illumination efficiency and energy conversion efficiency; while in imaging optics, they have significant applications in fields such as lithography, astronomical observation, microscopes, and endoscopes. We also emphasized the issues that metalenses need to overcome in commercial applications, such as environmental adaptability, design and evaluation methods, and proposed future research directions, including the development of new design methods and the integration with artificial intelligence technology. Overall, metalenses, with their unique optical control capabilities and compatibility with semiconductor manufacturing, are expected to become a key factor driving the development of the next generation of optical systems.
References
Pendry, J. B. et al. Extremely low frequency plasmons in metallic mesostructures. Phys. Rev. Lett. 76, 4773 (1996).
Pendry, J. B. et al. Magnetism from conductors and enhanced nonlinear phenomena. IEEE Trans. Microw. Theory Technol. 47, 2075–2084 (1999).
Smith, D. R. et al. Composite medium with simultaneously negative permeability and permittivity. Phys. Rev. Lett. 84, 4184 (2000).
Jing, X. et al. Physical explanation of Fabry–Pérot cavity for broadband bilayer metamaterials polarization converter. J. Lightwave Technol. 36, 2322–2327 (2018).
Xia, R. et al. Broadband terahertz half-wave plate based on anisotropic polarization conversion metamaterials. Opt. Mater. Express 7, 977–988 (2017).
Li, S., Fang, Y. & Wang, J. Control of light–matter interactions in two-dimensional materials with nanoparticle-on-mirror structures. Opto Electron. Sci 3, 240011–1-240011-19 (2024).
Wu, Y. et al. Multifunctional mixed analog/digital signal processor based on integrated photonics. Opto Electron. Sci. 3, 240012–1-240012-12 (2024).
AbdelAll, N. et al. Rainbow trapping with engineered topological corner states and cavities in photonic crystals. Adv. Quantum Technol. 7, 2400050 (2024).
Zhao, J. et al. Steady method to retrieve effective electromagnetic parameters of bianisotropic metamaterials at one incident direction in the terahertz region. Opt. Laser Technol. 95, 56–62 (2017).
Qin, G. et al. Broadband absorber coupled with anti-reflection inverted pyramid type all-dielectric microstructure. Opt. Lasers Eng. 160, 107288 (2023).
Luo, Z. et al. Ultracompact and high-efficiency liquid-crystal-on-silicon light engines for augmented reality glasses. Opto Electron. Adv. 7, 240039–1-240039-12 (2024).
Berhe, A. M. et al. Strong coupling and catenary field enhancement in the hybrid plasmonic metamaterial cavity and TMDC monolayers. Opto Electron. Adv. 7, 230181-1–230181-13 (2024).
Liu, S. et al. 3D Dirac semimetal supported tunable multi-frequency terahertz metamaterial absorbers. Adv. Quantum Technol. 7, 2300386 (2024).
Jing, X. et al. High refractive index metamaterials by using higher order modes resonances of hollow cylindrical nanostructure in visible region. IEEE Access 7, 144945–144956 (2019).
Jiang, L. et al. Terahertz high and near-zero refractive index metamaterials by double layer metal ring microstructure. Opt. Laser Technol. 123, 105949 (2020).
Yu, N. et al. Light propagation with phase discontinuities: generalized laws of reflection and refraction. science 334, 333–337 (2011).
Wang, Q. et al. Flexible and independent control of asymmetric vortex beams based on spin multiplexing all dielectric metasurface. J. Lightwave Technol. 41, 7098–7104 (2023).
Maowei, L., Dezhou, L. & Yaoguang, M. Vectorial optical fields manipulation via metasurfaces. Opto Electron. Eng. 51, 240068–1-240068-23 (2024).
Zhang, J. C. et al. Miniature tunable Airy beam optical meta-device. Opto Electron. Adv. 7, 230171–230178 (2024).
Nan T., Tian H., Zhang Y. Generation of structured light beams with polarization variation along arbitrary spatial trajectories using tri-layer metasurfaces. In Proc. 49th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz) 1–2 (IEEE, 2024).
Peters, C. & Forbes, A. Controlling the hidden parity in vectorial light with metasurfaces. Adv. Photonics 6, 040501–040501 (2024).
Zeng, B. et al. Anisotropic programmable metasurface beam splitter based on diode real-time control. Opt. Lasers Eng. 169, 107723 (2023).
Zhang, Y. et al. Ultrahigh performance passive radiative cooling by hybrid polar dielectric metasurface thermal emitters. Opto Electron. Adv. 7, 230194–230194-9 (2024).
Jing, X. et al. Enhancement of diffraction efficiency based on the addition principle of coded digital gratings. J. Lightwave Technol. 40, 136–142 (2022).
Hui, Y. et al. Metasurface-empowered vector light field regulation, detection and application. Opto Electron. Eng. 51, 240168–1-240168-14 (2024).
Haoxuan, W. et al. Research progress and prospects of metasurface polarization devices. Opto-Electron. Eng. 51, 240095–1-240095-24 (2024).
Wang, W. et al. Improvement of accuracy of simple methods for design and analysis of a blazed phase grating microstructure. Opt. Appl. 47, 183–198 (2017).
Cheng, H. et al. Far-field steering of beams based on dynamic encoding metasurface. Opt. Laser Technol. 156, 108520 (2022).
Aieta, F. et al. Out-of-plane reflection and refraction of light by anisotropic optical antenna metasurfaces with phase discontinuities. Nano Lett. 12, 1702–1706 (2012).
Khorasaninejad, M. et al. Metalenses at visible wavelengths: diffraction-limited focusing and subwavelength resolution imaging. Science 352, 1190–1194 (2016).
Rubin, N. A. et al. Matrix Fourier optics enables a compact full-Stokes polarization camera. Science 365, eaax1839 (2019).
Hong, C., Colburn, S. & Majumdar, A. Flat metaform near-eye visor. Appl. Opt. 56, 8822–8827 (2017).
Afra, T., Salehi, M. R. & Abiri, E. Design of two compact waveguide display systems utilizing metasurface gratings as couplers. Appl. Opt. 60, 8756–8765 (2021).
Li, Z. et al. Meta-optics achieves RGB-achromatic focusing for virtual reality. Sci. Adv. 7, eabe4458 (2021).
Li, Y. et al. Ultracompact multifunctional metalens visor for augmented reality displays. PhotoniX 3, 29 (2022).
Bayati, E. et al. Design of achromatic augmented reality visors based on composite metasurfaces. Appl. Opt. 60, 844–850 (2021).
Lan, S. et al. Metasurfaces for near-eye augmented reality. Acs Photonics 6, 864–870 (2019).
Kamali, S. M., Arbabi, E. & Faraon, A. Metasurface-based compact light engine for AR headsets[C]//optical design challenge 2019. SPIE 11040, 1104002 (2019).
Avayu, O., Ditcovski, R. & Ellenbogen, T. Ultrathin full color visor with large field of view based on multilayered metasurface design. Digit. Opt. Immers. Displays SPIE 10676, 236–241 (2018).
Mason, S. et al. Metasurface-controlled holographic microcavities. ACS Photonics 11, 941–949 (2024).
Song, W. et al. Large-scale Huygens’ metasurfaces for holographic 3D near-eye displays. Laser Photonics Rev. 15, 2000538 (2021).
Park, C. et al. High-throughput fabrication of large-scale metaholograms via one-step printing. Adv. Opt. Mater. 12, 2301562 (2024).
Barulin, A. et al. Dual-wavelength UV-visible metalens for multispectral photoacoustic microscopy: a simulation study. Photoacoustics 32, 100545 (2023).
Chu, C. H. et al. Intelligent phase contrast meta-microscope system. Nano Lett. 23, 11630–11637 (2023).
Huo, P. et al. Photonic spin-multiplexing metasurface for switchable spiral phase contrast imaging. Nano Lett. 20, 2791–2798 (2020).
Sun, C. et al. Near-infrared metalens empowered dual-mode high resolution and large FOV microscope. Adv. Opt. Mater. 12, 2400512 (2024).
Zhao, J. et al. Rapid cellular-resolution skin imaging with optical coherence tomography using all-glass multifocal metasurfaces. ACS Nano 17, 3442–3451 (2023).
Zhen, Q., et al. Optical fiber-based laser confocal microscope with a metalens. In Proc. International Conference on Optical MEMS and Nanophotonics (OMN) 1–5 (IEEE, 2018).
Liu, J. et al. Metasurface-assisted quantum nonlocal weak-measurement microscopy. Phys. Rev. Lett. 132, 043601 (2024).
Edrei, E. et al. Spectrally gated microscopy (SGM) with meta optics for parallel three-dimensional imaging. ACS Nano 15, 17375–17383 (2021).
Intaravanne, Y. et al. Metasurface-enabled 3-in-1 microscopy. ACS Photon. 10, 544–551 (2023).
Backlund, M. P. et al. Removing orientation-induced localization biases in single-molecule microscopy using a broadband metasurface mask. Nat. Photonics 10, 459–462 (2016).
Liu, Y. et al. Meta-objective with sub-micrometer resolution for microendoscopes. Photonics Res. 9, 106–115 (2021).
Fröch, J. E. et al. Real-time full-color imaging in a meta-optical fiber endoscope. eLight 3, 13 (2023).
Khorasaninejad, M. et al. Super-dispersive off-axis meta-lenses for compact high-resolution spectroscopy. Nano Lett. 16, 3732–3737 (2016).
Zhu, A. Y. et al. Ultra-compact visible chiral spectrometer with meta-lenses. Apl. Photon. 2, 036103 (2017).
Faraji-Dana, M. S. et al. Compact folded metasurface spectrometer. Nat. Commun. 9, 4196 (2018).
Faraji-Dana, M. S. et al. Hyperspectral imager with folded metasurface optics. ACS Photon. 6, 2161–2167 (2019).
Zhu, A. Y. et al. Compact aberration-corrected spectrometers in the visible using dispersion-tailored metasurfaces. Adv. Opt. Mater. 7, 1801144 (2019).
Wang, R. et al. Compact multi-foci metalens spectrometer. Light Sci. Appl. 12, 103 (2023).
McClung, A. et al. Snapshot spectral imaging with parallel metasystems. Sci. Adv. 6, eabc7646 (2020).
Hua, X. et al. Ultra-compact snapshot spectral light-field imaging. Nat. Commun. 13, 2732 (2022).
Butt, N. et al. Ultraviolet-visible spectroscopy with all-dielectric multi-foci dispersive metalens. Phys. Scr. 99, 065574 (2024).
Arbabi, A. et al. Miniature optical planar camera based on a wide-angle metasurface doublet corrected for monochromatic aberrations. Nat. Commun. 7, 13682 (2016).
Pu, M. et al. Nanoapertures with ordered rotations: symmetry transformation and wide-angle flat lensing. Opt. Express 25, 31471–31477 (2017).
Guo, Y. et al. High-efficiency and wide-angle beam steering based on catenary optical fields in ultrathin metalens. Adv. Opt. Mater. 6, 1800592 (2018).
Banerji, S. et al. Inverse designed flat optics with multilevel diffractive lenses 1-5 current developments in lens design and optical engineering XXI. SPIE 11482, 95–101 (2020).
Sharma, D. K. et al. Stereo imaging with a hemispherical field-of-view metalens camera[J]. ACS Photonics 11, 2016–2021 (2024).
Shalaginov, M. Y. et al. Single-element diffraction-limited fisheye metalens[J]. Nano Lett. 20, 7429–7437 (2020).
Martins, A. et al. On metalenses with arbitrarily wide field of view[J]. ACS Photonics 7, 2073–2079 (2020).
Fan, C. Y., Lin, C. P. & Su, G. D. J. Ultrawide-angle and high-efficiency metalens in hexagonal arrangement. Sci. Rep. 10, 15677 (2020).
Chen, J. et al. Planar wide-angle-imaging camera enabled by metalens array[J]. Optica 9, 431–437 (2022).
Engelberg, J. et al. Near-IR wide-field-of-view Huygens metalens for outdoor imaging applications[J]. Nanophotonics 9, 361–370 (2020).
Xu, B. et al. Metalens-integrated compact imaging devices for wide-field microscopy[J]. Adv. Photonics 2, 066004–066004 (2020).
Ye, X. et al. Chip-scale metalens microscope for wide-field and depth-of-field imaging[J]. Adv. Photonics 4, 046006–046006 (2022).
Hu, J. & Yang, W. Metalens array miniaturized microscope for large-field-of-view imaging. Opt. Commun. 555, 130231 (2024).
Lin, H. I. et al. Wide-Field-of-View, Large-Area Long-Wave Infrared Silicon Metalenses. ACS Photonics 11, 1943–1949 (2024).
Liu, Y. et al. Ultra-wide FOV meta-camera with transformer-neural-network color imaging methodology. Adv. Photonics 6, 056001–056001 (2024).
Juliano Martins, R. et al. Metasurface-enhanced light detection and ranging technology Nat. Commun. 13, 5724 (2022).
Lee, D. et al. Wide field-of-hearing metalens for aberration-free sound capture. Nat. Commun. 15, 3044 (2024).
Cheng, J. et al. Ultra-compact structured light projector with all-dielectric metalenses for 3D sensing[J]. AIP Adv. 9, 105016 (2019).
Zhang, H., Wang, B. & Wang, S. A novel method of high-speed all-optical logic gate based on metalens[J]. Opt. Commun. 574, 131132 (2025).
Nalimov, A. G. et al. Detection of elliptical polarization characteristics using a metalens[J]. Optik 311, 171931 (2024).
Yao, Y. et al. Nanoimprint-defined, large-area meta-surfaces for unidirectional optical transmission with superior extinction in the visible-to-infrared range[J]. Opt. Express 24, 15362–15372 (2016).
Moore, G. E. Cramming more components onto integrated circuits[J]. Proc. IEEE 86, 82–85 (1998).
She, A. et al. Large area metalenses: design, characterization, and mass manufacturing[J]. Opt. Express 26, 1573–1585 (2018).
Park, J. S. et al. All-glass, large metalens at visible wavelength using deep-ultraviolet projection lithography[J]. Nano Lett. 19, 8673–8682 (2019).
Colburn, S., Zhan, A. & Majumdar, A. Varifocal zoom imaging with large area focal length adjustable metalenses[J]. Optica 5, 825–831 (2018).
Hu, T. et al. Demonstration of color display metasurfaces via immersion lithography on a 12-inch silicon wafer[J]. Opt. Express 26, 19548–19554 (2018).
Hu, T. et al. CMOS-compatible a-Si metalenses on a 12-inch glass wafer for fingerprint imaging[J]. Nanophotonics 9, 823–830 (2020).
De Vocht, D. et al. Silicon nitride metalenses at near-infrared wavelengths manufactured using deep-ultraviolet scanner lithography[C]//European Conference on integrated optics. 315–322 (Springer Nature Switzerland, 2024).
Leitis, A. et al. Wafer-scale functional metasurfaces for mid-infrared photonics and biosensing[J]. Adv. Mater. 33, 2102232 (2021).
Liao, W. P. et al. I-line photolithographic metalenses enabled by distributed optical proximity correction with a deep-learning model[J]. Opt. Express 30, 21184–21194 (2022).
Yang, Q. et al. Broadband and robust metalens with nonlinear phase profiles for efficient terahertz wave control[J]. Adv. Optical Mater. 5, 1601084 (2017).
Zhang, L. et al. High-efficiency, 80 mm aperture metalens telescope[J]. Nano Lett. 23, 51–57 (2022).
Hou, M. et al. Single 5-centimeter-aperture metalens enabled intelligent lightweight mid-infrared thermographic camera[J]. Sci. Adv. 10, eado4847 (2024).
Park, J. S. et al. All-glass 100 mm diameter visible metalens for imaging the cosmos[J]. ACS Nano 18, 3187–3198 (2024).
Chou, S. Y., Krauss, P. R. & Renstrom, P. J. Imprint of sub-25 nm vias and trenches in polymers[J]. Appl. Phys. Lett. 67, 3114–3116 (1995).
Yao, Y., Liu, H. & Wu, W. Fabrication of high-contrast gratings for a parallel spectrum splitting dispersive element in a concentrated photovoltaic system[J]. J. Vac. Sci. Technol. B 32, 06FG04 (2014).
Lee, G. Y. et al. Metasurface eyepiece for augmented reality[J]. Nat. Commun. 9, 1–10 (2018).
Kim, K. et al. Facile nanocasting of dielectric metasurfaces with sub-100 nm resolution[J]. ACS Appl. Mater. interfaces 11, 26109–26115 (2019).
Yoon, G. et al. Single-step manufacturing of hierarchical dielectric metalens in the visible[J]. Nat. Commun. 11, 2268 (2020).
Yoon, G. et al. Printable nanocomposite metalens for high-contrast near-infrared imaging[J]. ACS Nano 15, 698–706 (2021).
Einck, V. J. et al. Scalable nanoimprint lithography process for manufacturing visible metasurfaces composed of high aspect ratio TiO2 meta-atoms[J]. ACS Photonics 8, 2400–2409 (2021).
Kim, J. et al. Scalable manufacturing of high-index atomic layer–polymer hybrid metasurfaces for metaphotonics in the visible[J]. Nat. Mater. 22, 474–481 (2023).
Ishii, Y. et al. Injection molding process for fabricating metalens with multi-height and high-aspect ratio nanostructures[C]//nanoengineering: fabrication, properties, optics, thin films, and devices XX. SPIE 12653, 87–93 (2023).
Chen, M. K. et al. Chiral-magic angle of nanoimprint meta-device[J]. Nanophotonics 12, 2479–2490 (2023).
Choi, H. et al. Realization of high aspect ratio metalenses by facile nanoimprint lithography using water-soluble stamps[J]. PhotoniX 4, 18 (2023).
Park, C. et al. High-throughput fabrication of large-scale metaholograms via one-step printing[J]. Adv. Optical Mater. 12, 2301562 (2024).
Kim, J. et al. 8” wafer-scale, centimeter-sized, high-efficiency metalenses in the ultraviolet[J]. Mater. Today 73, 9–15 (2024).
Kang, H. et al. Printable spin-multiplexed metasurfaces for ultraviolet holographic displays[J]. ACS Nano 18, 21504–21511 (2024).
Park, Y. et al. Tape-assisted residual layer-free one-step nanoimprinting of high-index hybrid polymer for optical loss-suppressed metasurfaces. Adv. Sci. 2025: 2409371.
Nemiroski, A. et al. Engineering shadows to fabricate optical metasurfaces[J]. Acs Nano 8, 11061–11070 (2014).
Gonidec, M. et al. Fabrication of nonperiodic metasurfaces by microlens projection lithography[J]. Nano Lett. 16, 4125–4132 (2016).
Chen, K., Duy Dao, T. & Nagao, T. Tunable nanoantennas for surface enhanced infrared absorption spectroscopy by colloidal lithography and post-fabrication etching. Sci. Rep. 7, 44069 (2017).
Zhou, Y. et al. Flat optics for image differentiation[J]. Nat. Photonics 14, 316–323 (2020).
Zheng, H. et al. Large-scale metasurfaces based on grayscale nanosphere lithography[J]. ACS Photonics 8, 1824–1831 (2021).
Jiang, L. et al. Improvement of unidirectional scattering characteristics based on multiple nanospheres array[J]. Microw. Optical Technol. Lett. 62, 2405–2414 (2020).
Kulkarni, A. A. & Doerk, G. S. Hierarchical, self-assembled metasurfaces via exposure-controlled reflow of block copolymer-derived nanopatterns[J]. ACS Appl. Mater. Interfaces 14, 27466–27475 (2022).
Zhu, C. & Kinzel, E. C. Microsphere photolithography using reusable microsphere array mask for low-cost infrared metasurface fabrication[J]. J. Vac. Sci. Technol. B 41, 033601 (2023).
Zhu, S. et al. A zoom microwave metalens with mechanical rotation[J]. IEEE Antennas Wirel. Propag. Lett. 23, 1819–1823 (2024).
Liu, Y. Q. et al. High numerical aperture and large focusing efficiency metalens based on multilayer transmitarray elements[J]. Opt. Lasers Eng. 147, 106734 (2021).
Bu, L. et al. Ultrathin huygens transmitarray with high transmission efficiency for single-layer metalens antenna applications[J]. IEEE Antennas Wirel. Propag. Lett. 23, 4079–4083 (2024).
Smythe, E. J. et al. A technology to transfer metallic nanoscale patterns to small and non-planar surfaces[J]. ACS Nano 3, 59–65 (2009).
Xu, Q. et al. Surface plasmon resonances of free-standing gold nanowires fabricated by nanoskiving[J]. Angew. Chem. Int. Ed. 45, 3631–3635 (2006).
Xu, Q. et al. Fabrication of large-area patterned nanostructures for optical applications by nanoskiving[J]. Nano Lett. 7, 2800–2805 (2007).
Liao, Q. et al. Fiber tip integrated metalens for polarization-dependent dual-focus[J]. Opt. Express 33, 16381–16388 (2025).
Wang, S. et al. Broadband achromatic optical metasurface devices[J]. Nat. Commun. 8, 187 (2017).
Paniagua-Dominguez, R. et al. A metalens with a near-unity numerical aperture[J]. Nano Lett. 18, 2124–2132 (2018).
Yao, J. et al. Nonlocal meta-lens with Huygens’ bound states in the continuum[J]. Nat. Commun. 15, 6543 (2024).
Wang, S. et al. A broadband achromatic metalens in the visible[J]. Nat. Nanotechnol. 13, 227–232 (2018).
Lin, R. J. et al. Achromatic metalens array for full-colour light-field imaging[J]. Nat. Nanotechnol. 14, 227–231 (2019).
Fan, Y. et al. Experimental demonstration of genetic algorithm based metalens design for generating side-lobe-suppressed, large depth-of-focus light sheet[J]. Laser Photonics Rev. 16, 2100425 (2022).
Wang, Y. et al. High-efficiency broadband achromatic metalens for near-IR biological imaging window[J]. Nat. Commun. 12, 5560 (2021).
Nikolov, D. K. et al. Metaform optics: Bridging nanophotonics and freeform optics[J]. Sci. Adv. 7, eabe5112 (2021).
Zeitner, U. D., Banasch, M. & Trost, M. Potential of E-beam lithography for micro-and nano-optics fabrication on large areas[J]. J. Micro/Nanopattern Mater. Metrol. 22, 041405–041405 (2023).
Devlin, R. C. et al. Broadband high-efficiency dielectric metasurfaces for the visible spectrum[J]. Proc. Natl Acad. Sci. 113, 10473–10478 (2016).
Khorasaninejad, M. et al. Polarization-insensitive metalenses at visible wavelengths[J]. Nano Lett. 16, 7229–7234 (2016).
Ndao, A. et al. Octave bandwidth photonic fishnet-achromatic-metalens[J]. Nat. Commun. 11, 3205 (2020).
Dorrah, A. H. et al. Free-standing bilayer metasurfaces in the visible[J]. Nat. Commun. 16, 3126 (2025).
Li, H. et al. Cavity-enhanced metallic metalens with improved efficiency[J]. Sci. Rep. 10, 417 (2020).
Andrén, D. et al. Large-scale metasurfaces made by an exposed resist[J]. ACS Photonics 7, 885–892 (2020).
Sin Tan, Y. et al. High-throughput fabrication of large-scale metasurfaces using electron-beam lithography with SU-8 gratings for multilevel security printing[J]. Photonics Res. 11, B103–B110 (2023).
Principe, M. et al. Optical fiber meta-tips[J]. Light Sci. Appl. 6, e16226–e16226 (2017).
Xomalis, A. et al. Fibre-optic metadevice for all-optical signal modulation based on coherent absorption[J]. Nat. Commun. 9, 182 (2018).
Yang, J. et al. Photonic crystal fiber metalens[J]. Nanophotonics 8, 443–449 (2019).
Hua, H. et al. Plasmonic metafiber for all-fiber Q-switched cylindrical vector lasers[J]. Nanophotonics 12, 725–735 (2023).
Liebtrau, M. & Polman, A. Angular dispersion of free-electron-light coupling in an optical fiber-integrated metagrating[J]. ACS Photonics 11, 1125–1136 (2024).
Kim, Y. B. et al. Single-unit metalens integrated micro light-emitting diodes[J]. Curr. Appl. Phys. 67, 85–92 (2024).
Shimotsuma, Y. et al. Self-organized nanogratings in glass irradiated by ultrashort light pulses[J]. Phys. Rev. Lett. 91, 247405 (2003).
Zhou, J. et al. Broadband photonic spin Hall meta-lens[J]. ACS Nano 12, 82–88 (2018).
Zhou, J. et al. Optical edge detection based on high-efficiency dielectric metasurface[J]. Proc. Natl Acad. Sci. 116, 11137–11140 (2019).
Zhou, J. et al. Eagle-eye inspired meta-device for phase imaging[J]. Adv. Mater. 36, 2402751 (2024).
Wei, S. et al. A varifocal graphene metalens for broadband zoom imaging covering the entire visible region[J]. ACS Nano 15, 4769–4776 (2021).
Hakamada, Y. et al. Fabrication of THz metalens by ultraviolet femtosecond laser ablation[C]//Terahertz, RF, millimeter, and submillimeter-wave technology and applications XVII. SPIE 12885, 151–154 (2024).
Huang, L. et al. Sub-wavelength patterned pulse laser lithography for efficient fabrication of large-area metasurfaces[J]. Nat. Commun. 13, 5823 (2022).
Xu K., et al. All-Glass Nanohole metalens by non-diffracting laser lithography[J]. Laser Photonics Rev. 240 (2006).
Zhang et al. Multiscale embedded printing of engineered human tissue and organ equivalents[J]. Proc. Natl Acad. Sci. 121, e2313464121 (2024).
Lim, C. W. J. et al. An overview of 3-D printing in manufacturing, aerospace, and automotive industries[J]. IEEE Potentials 35, 18–22 (2016).
Adeleke, A. K. et al. 3D printing in aerospace and defense: A review of technological breakthroughs and applications[J]. World J. Adv. Res. Rev. 21, 1149–1160 (2024).
Žujović, M. et al. 3D printing technologies in architectural design and construction: a systematic literature review[J]. Buildings 12, 1319 (2022).
Tan, H. W. et al. 3D printed electronics: Processes, materials and future trends[J]. Prog. Mater. Sci. 127, 100945 (2022).
Waseem, M., Tahir, A. U. & Majeed, Y. Printing the future of food: The physics perspective on 3D food printing[J]. Food. Physics 1, 100003 (2024).
Sun, L. 3D Printing and Additive Manufacturing in fashion[M]//leading edge technologies in fashion innovation: product design and development process from materials to the end products to consumers 59–74 (Springer International Publishing, 2022).
Callewaert, F. et al. Inverse-designed stretchable metalens with tunable focal distance[J]. Appl. Phys. Lett. 112, 091102 (2018).
Banerji, S. & Sensale-Rodriguez, B. 3D-printed diffractive terahertz optical elements through computational design[J]. Micro- Nanotechnol. Sens., Syst. Appl. XI 10982, 471–477 (2019).
Roques-Carmes, C. et al. Toward 3D-printed inverse-designed metaoptics[J]. Acs Photonics 9, 43–51 (2022).
Callewaert, F. et al. Inverse-designed broadband all-dielectric electromagnetic metadevices[J]. Sci. Rep. 8, 1358 (2018).
Ballew, C. et al. Mechanically reconfigurable multi-functional meta-optics studied at microwave frequencies[J]. Sci. Rep. 11, 11145 (2021).
Missori, M., Pilozzi, L. & Conti, C. Terahertz waves dynamic diffusion in 3D printed structures[J]. Sci. Rep. 12, 8613 (2022).
Melouki, N. et al. 3D-Printed conformal meta-lens with multiple beam-shaping functionalities for Mm-wave sensing applications[J]. Sensors 24, 2826 (2024).
Zhou, F. et al. Additive manufacturing of a 3D terahertz gradient-refractive index lens[J]. Adv. Optical Mater. 4, 1034–1040 (2016).
Wu, G. B. et al. High-gain circularly polarized lens antenna for terahertz applications[J]. IEEE Antennas Wirel. Propag. Lett. 18, 921–925 (2019).
Liao, D. et al. All-optical diffractive neural networked terahertz hologram[J]. Opt. Lett. 45, 2906–2909 (2020).
Wu, G. B., Chan, K. F. & Chan, C. H. 3-D printed terahertz lens to generate higher order Bessel beams carrying OAM[J]. IEEE Trans. Antennas Propag. 69, 3399–3408 (2020).
Xie, Y. X. et al. A 3D Printed ultra-wideband achromatic metalens antenna[J]. IEEE Open J. Antennas Propag. 4, 713–723 (2023).
Rane, S., Prabhu, S. & Chowdhury, D. R. Physics and applications of terahertz metagratings[J]. Opto-Electron. Sci. 3, 230049–1-230049-20 (2024).
Li, X. et al. Experimental demonstration of 3D printed terahertz polarization-insensitive flat devices based on low-index meta-gratings[J]. J. Phys. D Appl. Phys. 53, 505301 (2020).
Zhang, S. et al. All-dielectric terahertz wave metagrating lens based on 3D printing low refractive index material[J]. Infrared Phys. Technol. 133, 104775 (2023).
Yan, D. et al. Multifunctional terahertz metasurface devices based on 3D-printed low refractive index meta-gratings[J]. J. Phys. D Appl. Phys. 56, 235101 (2023).
Jang, D. et al. All-dielectric terahertz metalens using 3D-printing[J]. Opt. Lasers Eng. 171, 107834 (2023).
Kim, J. et al. Structural defect effect on all-dielectric terahertz metalens by 3d printing[J]. New Phys. Sae Mulli 74, 361–365 (2024).
Shi, J. et al. All-dielectric integrated meta-antenna operating in 6 G terahertz communication window[J]. Small 20, 2308958 (2024).
Shi, J. et al. High-efficiency focusing metalens based on metagrating arrays[J]. Photonics Res. 13, 351–359 (2025).
Thiele, S. et al. 3D printed stacked diffractive microlenses[J]. Opt. Express 27, 35621–35630 (2019).
Pan, C. F. et al. 3D-printed multilayer structures for high-numerical aperture achromatic metalenses[J]. Sci. Adv. 9, eadj9262 (2023).
Balli, F. et al. A hybrid achromatic metalens[J]. Nat. Commun. 11, 3892 (2020).
Balli, F. et al. An ultrabroadband 3D achromatic metalens[J]. Nanophotonics 10, 1259–1264 (2021).
He, C. et al. Chiral metalens of circular polarization dichroism with helical surface arrays in mid-infrared region[J]. Adv. Optical Mater. 7, 1901129 (2019).
Wang, X. et al. A holographic broadband achromatic metalens[J]. Laser Photonics Rev. 18, 2300880 (2024).
Ye, F. et al. Integrated light-sheet illumination using metallic slit microlenses[J]. Opt. Express 26, 27326–27338 (2018).
Hao, C. et al. Single-layer aberration-compensated flat lens for robust wide-angle imaging[J]. Laser Photonics Rev. 14, 2000017 (2020).
Ren, H. et al. Complex-amplitude metasurface-based orbital angular momentum holography in momentum space[J]. Nat. Nanotechnol. 15, 948–955 (2020).
Sun, T. et al. High-efficiency plasmonic metalens for dual-polarization imaging with a single set of 3D variable nanostructures[J]. ACS Photonics 9, 2833–2841 (2022).
Goi, E. et al. Nanoprinted high-neuron-density optical linear perceptrons performing near-infrared inference on a CMOS chip[J]. Light Sci. Appl. 10, 40 (2021).
Xiong, Y. & Xu, F. Multifunctional integration on optical fiber tips: challenges and opportunities[J]. Adv. Photonics 2, 064001–064001 (2020).
Gissibl, T. et al. Sub-micrometre accurate free-form optics by three-dimensional printing on single-mode fibres[J]. Nat. Commun. 7, 11763 (2016).
Ren, H. et al. An achromatic metafiber for focusing and imaging across the entire telecommunication range[J]. Nat. Commun. 13, 4183 (2022).
Hadibrata, W. et al. Inverse design and 3D printing of a metalens on an optical fiber tip for direct laser lithography[J]. Nano Lett. 21, 2422–2428 (2021).
Plidschun, M. et al. Ultrahigh numerical aperture meta-fibre for flexible optical trapping[J]. Light Sci. Appl. 10, 57 (2021).
Khosravi, M., Qi, X. & Schmidt, M. A. Interfacing high numerical aperture metalenses with thermally expanded core fibers via 3D nanoprinting for advanced meta-fiber operation[J]. Opt. Express 32, 28206–28214 (2024).
Vanmol, K. et al. Fabrication of multilevel metalenses using multiphoton lithography: from design to evaluation[J]. Opt. Express 32, 10190–10203 (2024).
Su, C. et al. Parallel 3D projection lithography of massive tunable nanopillars for functional structures[J]. Optica 11, 1725–1732 (2024).
Yang, S. et al. Parallel two-photon lithography achieving uniform sub-200 nm features with thousands of individually controlled foci[J]. Opt. Express 31, 14174–14184 (2023).
Messer, T. et al. A shoe-box-sized 3D laser nanoprinter based on two-step absorption[J]. Light Adv. Manuf. 5, 269–276 (2025).
Moreno, I., Avendaño-Alejo, M. & Castañeda-Almanza, C. P. Nonimaging metaoptics[J]. Opt. Lett. 45, 2744–2747 (2020).
Castañeda-Almanza, C. P. & Moreno, I. Ray tracing in metasurfaces[J]. Opt. Contin. 1, 958–964 (2022).
Moreno, I. An LED metalens for uniform illumination[C]//current developments in lens design and optical engineering XXIII. SPIE 12217, 108–112 (2022).
Castañeda-Almanza, C. P. & Moreno, I. Metalens for uniform rectangular illumination[C]//nonimaging optics: efficient design for illumination and solar concentration XVIII. SPIE 12220, 71–74 (2022).
Borne, J. et al. Bringing metasurfaces to analytical lens design: stigmatism and specific ray mapping[J]. Opt. Express 32, 6062–6075 (2024).
Nielsen, K. E. S. et al. Non-imaging metasurface design for collimated beam shaping[J]. Opt. Express 31, 37861–37870 (2023).
Yang, F., Gu, T. & Hu, J. Analytical design framework for metasurface projection optics[J]. J. Optical Soc. Am. B 40, 2211–2220 (2023).
Xie, Y. Y. et al. Metasurface-integrated vertical cavity surface-emitting lasers for programmable directional lasing emissions[J]. Nat. Nanotechnol. 15, 125–130 (2020).
Wang, Q. H. et al. On-chip generation of structured light based on metasurface optoelectronic integration[J]. Laser Photonics Rev. 15, 2000385 (2021).
Ding, J. et al. Randomized metalens array homogenizer for enhanced laser beam shaping[J]. Opt. Express 32, 40514–40522 (2024).
Ye, H. et al. Theoretical realization of single-mode fiber integrated metalens for beam collimating[J]. Opt. Express 29, 27521–27529 (2021).
Zhou, L. et al. Tailoring directive gain for high-contrast, wide-viewing-angle organic light-emitting diodes using speckle image holograpy metasurfaces[J]. ACS Appl. Mater. Interfaces 8, 22402–22409 (2016).
Kang, K. et al. Nanoslot metasurface design and characterization for enhanced organic light-emitting diodes[J]. Sci. Rep. 11, 9232 (2021).
Jiao, B. et al. Facile fabrication of random plasmonic metasurface via azeotropic solvent-induced polymer template and its application in organic light-emitting diodes[J]. Org. Electron. 109, 106619 (2022).
Cho, H. et al. Enhancement of luminous intensity emission from incoherent LED light sources within the detection angle of 10 using metalenses[J]. Nanomaterials 12, 153 (2022).
López, T. et al. Integrated metasurfaces for advanced solid-state-lighting[C]//smart photonic and optoelectronic integrated circuits 2024. SPIE 12890, 1289002 (2024).
Chen, E. et al. Broadband beam collimation metasurface for full-color micro-LED displays[J]. Opt. Express 32, 10252–10264 (2024).
Bogh C. L., et al. Designing and characterizing metalenses for the increased light extraction of MWIR LEDs[C]. In Proc. IEEE Research and Applications of Photonics in Defense Conference (RAPID). 1–4 (IEEE, 2019).
Wenger, T. et al. Large metasurface-based optical concentrators for infrared photodetectors[J]. AIP Adv. 11, 085221 (2021).
Lin, J. et al. Enhancing the light extraction efficiency in micro-organic light-emitting diodes with metalens[J]. Adv. Photonics Res. 2, 2000145 (2021).
Joo, W. J. et al. Metasurface-driven OLED displays beyond 10,000 pixels per inch[J]. Science 370, 459–463 (2020).
Uenoyama, S. & Ota, R. Monolithic integration of metalens in silicon photomultiplier for improved photodetection efficiency[J]. Adv. Optical Mater. 10, 2102707 (2022).
Uenoyama, S. & Ota, R. 40× 40 metalens array for improved silicon photomultiplier performance[J]. ACS Photonics 8, 1548–1555 (2021).
Chang, K. H. et al. Axicon metalens for broadband light harvesting[J]. Nanophotonics 12, 1309–1315 (2023).
Yao, Y., Liu, H. & Wu, W. Spectrum splitting using multi-layer dielectric meta-surfaces for efficient solar energy harvesting[J]. Appl. Phys. A 115, 713–719 (2014).
Shameli, M. A. & Yousefi, L. Absorption enhancement in thin-film solar cells using an integrated metasurface lens[J]. JOSA B 35, 223–230 (2018).
Shameli, M. A., Salami, P. & Yousefi, L. Light trapping in thin film solar cells using a polarization independent phase gradient metasurface[J]. J. Opt. 20, 125004 (2018).
Shameli, M. A., Fallah, A. & Yousefi, L. Developing an optimized metasurface for light trapping in thin-film solar cells using a deep neural network and a genetic algorithm[J]. J. Optical Soc. Am. B 38, 2728–2735 (2021).
Zhang, C. et al. Planar metasurface-based concentrators for solar energy harvest: from theory to engineering[J]. PhotoniX 3, 28 (2022).
Winston, R., Jiang, L. & Ricketts, M. Nonimaging optics: a tutorial[J]. Adv. Opt. Photonics 10, 484–511 (2018).
Lee, H. et al. Realization of optical superlens imaging below the diffraction limit[J]. N. J. Phys. 7, 255 (2005).
Menon, R., Gil, D. & Smith, H. I. Experimental characterization of focusing by high-numerical-aperture zone plates[J]. JOSA A 23, 567–571 (2006).
Chung, H. et al. Inverse design of high-NA metalens for maskless lithography[J]. Nanophotonics 12, 2371–2381 (2023).
Luo S., et al. A Maskless lithography system based on digital micromirror devices (DMD) and metalens arrays[C]. In Proc. Conference on Lasers and Electro-Optics (CLEO) 1–2 (IEEE, 2023).
Gontad J., et al. Massive parallelization of laser beams with diffractive optical elements for high speed two photon polymerization[C]// In Proc. Lasers in Manufacturing Conference 2019. Willkommen bei der Wissenschaftlichen Gesellschaft Lasertechnik und Photonik e.V. (WLT), 2019.
Wang, X. et al. 3D nanolithography via holographic multi-focus metalens[J]. Laser Photonics Rev. 18, 2400181 (2024).
Fu, J. et al. Supercritical metalens at h-line for high-resolution direct laser writing [J]. Opto-Electron. Sci. 3, 230035-1–230035-9 (2024).
Gu S., et al. High throughput two-photon-lithography system powered by metalens array[C]//Laser 3D Manufacturing XII. SPIE, 2025: PC133540Q.
Xiaoxing, X. I. A., Feigenbaum, E. System and method for parallel two-photon lithography using a metalens array: U.S. Patent 12,130,407[P]. 2024-10-29.
Tseng, M. L. et al. Vacuum ultraviolet nonlinear metalens[J]. Sci. Adv. 8, eabn5644 (2022).
Yang, X. et al. Diamond based optical metasurfaces for broadband wavefront shaping in harsh environment[J]. Laser Photonics Rev. 18, 2400007 (2024).
Martins, A. et al. High efficiency glass-based VUV metasurfaces[J]. Preprint at https://doi.org/10.48550/arXiv.2401.11315 (2024).
Ossiander, M. et al. Extreme ultraviolet metalens by vacuum guiding[J]. Science 380, 59–63 (2023).
Cheng, K. et al. Simulation of multiwavelength achromatic metalens in the extreme ultraviolet[J]. Opt. Commun. 557, 130345 (2024).
Mao, X. et al. Design and simulation of an extreme ultraviolet metalens based on the Pancharatnam–Berry phase[J]. Appl. Opt. 63, 1867–1874 (2024).
Chen, J. et al. High-efficiency reflective focusing in extreme ultraviolet with multilayer metalens[J]. Available at SSRN 4949086.
Zárate-Villegas, D. & Moreno, I. Extreme UV metalens consisting of ring meta-atoms[J]. Optik 326, 172258 (2025).
Wang, W., Dai, G. & Zhang, J. Generation of 13.5-nm bessel beams using metasurfaces[C]. In Proc. Asia Communications and Photonics Conference (ACP) and International Conference on Information Photonics and Optical Communications (IPOC) 1–3 (IEEE, 2024).
Zhang, C. et al. A novel wavefront modulation approach in the extreme ultraviolet[C]//Optoelectronic Imaging and Multimedia Technology XI. SPIE 13239, 326–331 (2024).
Duan, S. et al. Diffraction-limited high-efficiency extreme ultraviolet metalens at 13.5 nm wavelength based on nanohole array in molybdenum membrane[J]. Optical Eng. 63, 067105–067105 (2024).
Liu, X. et al. Optical telescope with Cassegrain metasurfaces[J]. Nanophotonics 9, 3263–3269 (2020).
Yue, S. et al. Design and numerical analysis of an infrared cassegrain telescope based on reflective metasurfaces[J]. Nanomaterials 11, 2904 (2021).
Zhang, X. et al. Monolithic integrated optical telescope based on cascaded metasurfaces[J]. ACS Photonics 10, 2290–2296 (2023).
Guo, C. et al. Design and analysis of the dual-band far-field super-resolution metalens with large aperture[J]. Nanomaterials 14, 513 (2024).
Majumder, A. et al. Color astrophotography with a 100 mm-diameter f/2 polymer flat lens[J]. Appl. Phys. Lett. 126, 051701 (2025).
Wang, J. et al. Portable astronomical observation system based on large-aperture concentric-ring metalens[J]. Light Sci. Appl. 14, 2 (2025).
Wang, X. et al. Single-shot isotropic differential interference contrast microscopy[J]. Nat. Commun. 14, 2063 (2023).
Wang, Y. et al. Computing metasurfaces enabled broad-band vectorial differential interference contrast microscopy[J]. ACS Photonics 10, 2201–2207 (2022).
Shen, C. Y. et al. Multiplane quantitative phase imaging using a wavelength-multiplexed diffractive optical processor[J]. Adv. Photonics 6, 056003–056003 (2024).
Kwon, H. et al. Single-shot quantitative phase gradient microscopy using a system of multifunctional metasurfaces[J]. Nat. Photonics 14, 109–114 (2020).
Sardana, J. et al. Dielectric metasurface enabled compact, single-shot digital holography for quantitative phase imaging[J]. Nano Lett. 23, 11112–11119 (2023).
Wang, J. et al. Quantitative phase imaging with a compact meta-microscope[J]. npj. Nanophotonics 1, 4 (2024).
Min, Q. et al. Varifocal metalens for compact and accurate quantitative phase imaging[J]. ACS Photonics 11, 2797–2804 (2024).
Gao, X. et al. All-dielectric bifocal metalens with diffraction-limited focusing and polarization-dependent characteristics[J]. Int. J. Mech. Sci. 286, 109916 (2025).
Huang, P. S. et al. Varifocal metalenses: harnessing polarization-dependent superposition for continuous focal length control[J]. Nano Lett. 23, 10432–10440 (2023).
Aiello, M. D. et al. Achromatic varifocal metalens for the visible spectrum[J]. ACS Photonics 6, 2432–2440 (2019).
Shanker, A. et al. Quantitative phase imaging endoscopy with a metalens[J]. Light.: Sci. Appl. 13, 305 (2024).
Cheng, J. et al. Quantitative phase imaging for meta-lenses by phase retrieval[J]. Adv. Optical Mater. 13, 2402833 (2025).
Arbabi, E. et al. Two-photon microscopy with a double-wavelength metasurface objective lens[J]. Nano Lett. 18, 4943–4948 (2018).
Sun, D. et al. Excitation and emission dual-wavelength confocal metalens designed directly in the biological tissue environment for two-photon micro-endoscopy[J]. Biomed. Opt. Express 11, 4408–4418 (2020).
Wang, C. et al. Miniature two-photon microscopic imaging using dielectric metalens[J]. Nano Lett. 23, 8256–8263 (2023).
Luo, Y. et al. Varifocal metalens for optical sectioning fluorescence microscopy[J]. Nano Lett. 21, 5133–5142 (2021).
Luo, Y. et al. Meta-lens light-sheet fluorescence microscopy for in vivo imaging[J]. Nanophotonics 11, 1949–1959 (2022).
Chia, Y. H. et al. In vivo intelligent fluorescence endo-microscopy by varifocal meta-device and deep learning[J]. Adv. Science 11, 2307837 (2024).
Pahlevaninezhad, H. et al. Nano-optic endoscope for high-resolution optical coherence tomography in vivo[J]. Nat. photonics 12, 540–547 (2018).
Chen, C. et al. Spectral tomographic imaging with aplanatic metalens[J]. Light Sci. Appl. 8, 99 (2019).
Pahlevaninezhad, M. et al. Metasurface-based bijective illumination collection imaging provides high-resolution tomography in three dimensions[J]. Nat. Photonics 16, 203–211 (2022).
Moghaddasi, M. et al. Wide FOV metalens for near-infrared capsule endoscopy: advancing compact medical imaging[J]. Nanophotonics 13, 4417–4428 (2024).
Song, Y. et al. Metalens-based hybrid probe near-infrared endoscope objective[J]. Opt. Express 33, 5757–5768 (2025).
Xie, N. et al. Large field-of-view short-wave infrared metalens for scanning fiber endoscopy[J]. J. Biomed. Opt. 28, 094802–094802 (2023).
Sato, T. TXI: Texture and color enhancement imaging for endoscopic image enhancement[J]. J. Healthc. Eng. 2021(1), 5518948 (2021).
Li, J. et al. 3D-printed micro lens-in-lens for in vivo multimodal microendoscopy[J]. Small 18, 2107032 (2022).
Chiam, K. H. et al. Current status of mucosal imaging with narrow-band imaging in the esophagus[J]. Gut Liver 15, 492 (2020).
Afridi, A. et al. Electrically driven varifocal silicon metalens[J]. ACS Photonics 5, 4497–4503 (2018).
Badloe, T. et al. Electrically tunable bifocal metalens with diffraction-limited focusing and imaging at visible wavelengths[J]. Adv. Sci. 8, 2102646 (2021).
Shirmanesh, G. K. et al. Electro-optically tunable multifunctional metasurfaces[J]. ACS Nano 14, 6912–6920 (2020).
Fan, C. Y. et al. Electrically modulated varifocal metalens combined with twisted nematic liquid crystals[J]. Opt. express 28, 10609–10617 (2020).
Ou, X. et al. Tunable polarization-multiplexed achromatic dielectric metalens[J]. Nano Lett. 22, 10049–10056 (2022).
Roy, T. et al. Dynamic metasurface lens based on MEMS technology[J]. Apl. Photonics 3, 021302 (2018).
Han, Z. et al. MEMS-actuated metasurface Alvarez lens[J]. Microsyst. Nanoeng. 6, 79 (2020).
Arbabi, E. et al. MEMS-tunable dielectric metasurface lens[J]. Nat. Commun. 9, 812 (2018).
Dirdal, C. A. et al. MEMS-tunable dielectric metasurface lens using thin-film PZT for large displacements at low voltages[J]. Opt. Lett. 47, 1049–1052 (2022).
Wei, Y. et al. Compact optical polarization-insensitive zoom metalens doublet[J]. Adv. Optical Mater. 8, 2000142 (2020).
Ee, H. S. & Agarwal, R. Tunable metasurface and flat optical zoom lens on a stretchable substrate[J]. Nano Lett. 16, 2818–2823 (2016).
Fu, R. et al. Reconfigurable step-zoom metalens without optical and mechanical compensations[J]. Opt. Express 27, 12221–12230 (2019).
Lux F., Calikoglu A., Ataman Ç. Monolithically 3D nano-printed MEMS lens scanner for rapid focus control[C]. In Proc. International Conference on Optical MEMS and Nanophotonics (OMN) 1–2 (IEEE, 2024).
Chandrahalim H., Williams J. C. Advanced integration of 3D optomechanical sensor microsystems with optical fibers[C]. In: Proc. IEEE Sensors. 1–4 (IEEE, 2024).
Wei, H. et al. Sensitivity-enhanced fiber-optic Fabry-Perot ultrasonic sensor based on direct laser writing of dual-resonant cavity[J]. IEEE Trans. Instrum. Meas. 74, 7000706 (2024).
Long, X. et al. An ultrasensitive 3D-printed nano mechanics sensor[C]//advanced laser processing and manufacturing VIII. SPIE 13234, 79–82 (2024).
Kim, S., Kubicek, R. & Bergbreiter, S. 3D-printed electrostatic microactuators for flexible microsystems[J]. Adv. Funct. Mater. 33, 2304991 (2023).
Zhao, Y. et al. Ultraviolet metalens for photoacoustic microscopy with an elongated depth of focus[J]. Opt. Lett. 48, 3435–3438 (2023).
Taha, B. A. et al. Exploring trends and opportunities in quantum-enhanced advanced photonic illumination technologies[J]. Adv. Quantum Technol. 7, 2300414 (2024).
Sakib Rahman, M. S. & Ozcan, A. Physics and artificial intelligence: illuminating the future of optics and photonics[J]. Adv. Photonics 6, 050500–050500 (2024).
Zhang, S. et al. Adaptive decentralized AI scheme for signal recognition of distributed sensor systems[J]. Opto-Electron. Adv. 7, 240119–1-240119-13 (2024).
Seong, J. et al. Cost-effective and environmentally friendly mass manufacturing of optical metasurfaces towards practical applications and commercialization[J]. Int. J. Precis. Eng. Manuf.-Green. Technol. 11, 685–706 (2024).
Wu, Y. et al. Self-cleaning titanium dioxide metasurfaces with UV irradiation[J]. Laser Photonics Rev. 15, 2000330 (2021).
Liu, X. et al. Underwater binocular meta-lens[J]. ACS Photonics 10, 2382–2389 (2023).
Rombaut, J. et al. Antireflective multilayer surface with self-cleaning subwavelength structures[J]. ACS Photonics 8, 894–900 (2021).
Cao, G. et al. Resilient graphene ultrathin flat lens in aerospace, chemical, and biological harsh environments[J]. ACS Appl. Mater. Interfaces 11, 20298–20303 (2019).
Ren, Z. et al. Underwater gradient metalens for broadband subwavelength focusing[J]. Int. J. Mech. Sci. 229, 107521 (2022).
Zhu, Y. et al. Silicon-based spectrally selective emitters with good high-temperature stability on stepped metasurfaces[J]. Nanoscale 14, 10816–10822 (2022).
Chen, B. et al. 4H-SiC metalens: mitigating thermal drift effect in high-power laser irradiation[J]. Adv. Mater. 37, 2412414 (2025).
Istokskaia, V. et al. Real-time bremsstrahlung detector as a monitoring tool for laser–plasma proton acceleration[J]. High. Power Laser Sci. Eng. 12, e57 (2024).
Shi, J. et al. Precise mode control of mid-infrared high-power laser diodes using on-chip advanced sawtooth waveguide designs[J]. High. Power Laser Sci. Eng. 12, e42 (2024).
Refaeli, Z., Marcus, G. & Shamir, Y. A simple method for pulse contrast enhancement via self-focusing[J]. High. Power Laser Sci. Eng. 12, e18 (2024).
Peng, Y. C. et al. Deep-ultraviolet AlN metalens with imaging and ultrafast laser microfabrication applications[J]. Nano Lett. 25, 3141–3149 (2025).
Chalony, M. et al. Optical and manufacturing design aware flow for metalenses[C]//advanced materials, biomaterials, and manufacturing technologies for security and defence. SPIE 12741, 22–28 (2023).
Bahl, M. et al. Addressing large-scale photonic design for next-generation systems[C]//Photonic Instrumentation Engineering XII. SPIE 13373, 1337302 (2025).
Dawes, A. M. C. et al. Metalens response to process variation[C]//photomask technology 2024. SPIE 13216, 250–258 (2024).
Melvin, L. S. III et al. Metalens manufacturing complexities and costs[C]//Advanced Etch Technology and Process Integration for Nanopatterning XIII. SPIE 12958, 59–68 (2024).
Christiansen, R. E. & Sigmund, O. Inverse design in photonics by topology optimization: tutorial[J]. J. Optical Soc. Am. B 38, 496–509 (2021).
Wang, E. W. et al. Robust design of topology-optimized metasurfaces[J]. Optical Mater. Express 9, 469–482 (2019).
Phan, T. et al. High-efficiency, large-area, topology-optimized metasurfaces[J]. Light Sci. Appl. 8, 48 (2019).
Christiansen, R. E. & Sigmund, O. Compact 200 line MATLAB code for inverse design in photonics by topology optimization: tutorial[J]. J. Optical Soc. Am. B 38, 510–520 (2021).
Lin, Z. et al. Topology optimization of freeform large-area metasurfaces[J]. Opt. Express 27, 15765–15775 (2019).
Lin, Z. et al. Topology-optimized multilayered metaoptics[J]. Phys. Rev. Appl. 9, 044030 (2018).
Huntington, M. D., Lauhon, L. J. & Odom, T. W. Subwavelength lattice optics by evolutionary design[J]. Nano Lett. 14, 7195–7200 (2014).
Jordaan, J., Minovich, A. & Staude, I. Multilayer Huygens’ metasurfaces as a platform for large area multiwavelength metalenses[C]//high contrast metastructures XIII. SPIE 12897, 56–60 (2024).
Yu, Z. et al. Genetic algorithm assisted meta-atom design for high-performance metasurface optics[J]. Opto- Electron. Sci. 3, 240016–1-240016-14 (2024).
Hu, J. et al. Plasmonic lattice lenses for multiwavelength achromatic focusing[J]. ACS Nano 10, 10275–10282 (2016).
Deng, W. Q. et al. Design and optimization of an ultra-wideband millimeter-wave circularly polarized metalens antenna with deep learning method[J]. IEEE Open J. Antennas Propag. 5, 823–832 (2024).
Huang, L. et al. Broadband thermal imaging using meta-optics[J]. Nat. Commun. 15, 1662 (2024).
Zhang, Y. et al. Non-volatile double-tunable vortex metalens design based on Sb2S3 using deep neural network and particle swarm optimization algorithm[J]. Opt. Commun. 560, 130453 (2024).
Li, Z. et al. Inverse design enables large-scale high-performance meta-optics reshaping virtual reality[J]. Nat. Commun. 13, 1–11 (2022).
Iwanaga, M. Non-Empirical Large-Scale Search for Optical Metasurfaces[J]. Nanomaterials 10, 1739 (2020).
Fan, Z. B. et al. Integral imaging near-eye 3D display using a nanoimprint metalens array[J]. ELight 4, 3 (2024).
Ha, Y. et al. Physics-data-driven intelligent optimization for large-aperture metalenses[J]. Opto-Electron. Adv. 6, 230133–1-230133-11 (2023).
Liang, H. et al. Ultrahigh numerical aperture metalens at visible wavelengths[J]. Nano Lett. 18, 4460–4466 (2018).
Bos, J. et al. A design automation and simulation flow for lens systems containing multiple metasurfaces[J]. Proc. Meta 2022, 1229–1231 (2022).
Xu, C. et al. Fully automated inverse design solution for metalenses/metasurfaces[C]//Photonic Instrumentation Engineering X. SPIE 12428, 1242802 (2023).
Yang Y., et al. The road to commercializing optical metasurfaces: current challenges and future directions[J]. ACS Nano, 2025.
Stauss, B. et al. Metalens metrology: challenges in objective assessment of image quality[C]//high contrast metastructures XIV. SPIE 13378, 13–21 (2025).
Ding, Y. et al. Designing hybrid imaging systems with metalenses and refractive elements[C]//high contrast metastructures XIII. SPIE 12897, 17–24 (2024).
Chen, R. et al. A semisolid micromechanical beam steering system based on micrometa-lens arrays[J]. Nano Lett. 22, 1595–1603 (2022).
Martins, A. et al. Fundamental limits and design principles of doublet metalenses[J]. Nanophotonics 11, 1187–1194 (2022).
Han, W. et al. Aberration theory of a flat, aplanatic metalens doublet and the design of a meta-microscope objective lens[J]. Sensors 23, 9273 (2023).
Li, S. & Hsu, C. W. Thickness bound for nonlocal wide-field-of-view metalenses[J]. Light Sci. Appl. 11, 338 (2022).
Liang, H. et al. High performance metalenses: numerical aperture, aberrations, chromaticity, and trade-offs[J]. Optica 6, 1461–1470 (2019).
Zhao, M. et al. Phase characterisation of metalenses[J]. Light Sci. Appl. 10, 52 (2021).
So, S. et al. Revisiting the design strategies for metasurfaces: fundamental physics, optimization, and beyond[J]. Adv. Mater. 35, 2206399 (2023).
Ali, F., Yazdaanpanah, R. & Aksu, S. Tackling the focal shift effect for metalenses[J]. J. Opt. 26, 075103 (2024).
Rousseau, E. & Felbacq, D. Detailed derivation of the generalized Snell–Descartes laws from Fermat’s principle[J]. J. Optical Soc. Am. A 40, 676–681 (2023).
Ullah, M. & Mikki, S. Optical nonreciprocity in a multimode cavity optomechanical system controlled by dynamic Casimir force[J]. Adv. Quantum Technol. 7, 2400007 (2024).
Zhang, C. L. et al. Topological phase transition and edge states with tunable localization in the cyclic four-mode optical system[J]. Adv. Quantum Technol. 7, 2400165 (2024).
Zhou, L. et al. Compact mid-infrared dual-comb spectrometer over 3–4 μm via intra-pulse difference frequency generation in LiNbO3 waveguides[J]. High. Power Laser Sci. Eng. 12, e23 (2024).
Liu, M. et al. Achromatic and coma-corrected hybrid meta-optics for high-performance thermal imaging[J]. Nano Lett. 24, 7609–7615 (2024).
Meng, Z. et al. Floating multi-focus metalens for high-efficiency airborne laser wireless charging[C]. Photonics 12 (2025).
Chen, M. K. et al. Meta-lens in the sky[J]. IEEE Access 10, 46552–46557 (2022).
Kim, I. et al. Nanophotonics for light detection and ranging technology[J]. Nat. Nanotechnol. 16, 508–524 (2021).
Holzhüter, H. et al. Technical concepts of automotive LiDAR sensors: a review[J]. Optical Eng. 62, 031213–031213 (2023).
Fu, Y. H. et al. 1D Line-scan metalens integrated with MEMS actuator for depth sensing applications[C]//2024 conference on lasers and electro-optics (CLEO). 1–2 (IEEE, 2024).
Li, M. et al. Nanophotonics: millimeter-precision positioning for wide-angle indoor area enabled by metalens-integrated camera[J]. (2024).
Shen, Z. et al. Monocular metasurface camera for passive single-shot 4D imaging[J]. Nat. Commun. 14, 1035 (2023).
Neshev, D. N. & Miroshnichenko, A. E. Enabling smart vision with metasurfaces[J]. Nat. Photonics 17, 26–35 (2023).
Zhou, Z. et al. Bidirectional multi-scale deformable attention for video super-resolution[J]. Multimed. Tools Appl. 83, 27809–27830 (2024).
Sun, Z. et al. L1 model-driven recursive multi-scale denoising network for image super-resolution[J]. Knowl.-Based Syst. 225, 107115 (2021).
Zhao, J. et al. A compact recursive dense convolutional network for image classification[J]. Neurocomputing 372, 8–16 (2020).
Seo, J. et al. Deep-learning-driven end-to-end metalens imaging[J]. Adv. Photonics 6, 066002–066002 (2024).
Zhao, J., Sun, T. & Cao, F. Image super-resolution via adaptive sparse representation and self-learning[J]. IET Comput. Vis. 12, 753–761 (2018).
Zhang, Y. et al. Deep-learning enhanced high-quality imaging in metalens-integrated camera[J]. Opt. Lett. 49, 2853–2856 (2024).
Zhao, J. et al. Single image super-resolution based on adaptive convolutional sparse coding and convolutional neural networks[J]. J. Vis. Commun. Image Represent. 58, 651–661 (2019).
Chen, M. K. et al. A meta-device for intelligent depth perception[J]. Adv. Mater. 35, 2107465 (2023).
Guo, Q. et al. Compact single-shot metalens depth sensors inspired by eyes of jumping spiders[J]. Proc. Natl. Acad. Sci. 116, 22959–22965 (2019).
Liu, X. et al. Edge enhanced depth perception with binocular meta-lens[J]. Opto-Electron. Sci. 3, 230033–1-230033-10 (2024).
Liu, X. et al. Stereo vision meta-lens-assisted driving vision[J]. ACS Photonics 11, 2546–2555 (2024).
Zhao, J. et al. A novel deep learning algorithm for incomplete face recognition: low-rank-recovery network[J]. Neural Netw. 94, 115–124 (2017).
Zhao, J., Lu, Y. X. & Zhou, Z. Correlation filters based on temporal regularization and background awareness[J]. Comput. Electr. Eng. 86, 106757 (2020).
Zhao, J., Chen, N. & Zhou, Z. A temporal sparse collaborative appearance model for visual tracking[J]. Multimed. Tools Appl. 79, 14103–14125 (2020).
Qiu, T. et al. Vision-driven metasurfaces for perception enhancement[J]. Nat. Commun. 15, 1631 (2024).
Zhou, Z., Zhang, W. & Zhao, J. Robust visual tracking using discriminative sparse collaborative map[J]. Int. J. Mach. Learn. Cybern. 10, 3201–3212 (2019).
Zhao, J., Zhang, W. & Cao, F. Robust object tracking using a sparse coadjutant observation model[J]. Multimed. Tools Appl. 77, 30969–30991 (2018).
Li, C. et al. Arbitrarily structured quantum emission with a multifunctional metalens[J]. Elight 3, 19 (2023).
Hu, S. et al. Full-color imaging system based on the joint integration of a metalens and neural network[J]. Nanomaterials 14, 715 (2024).
Li, L. et al. Metalens-array–based high-dimensional and multiphoton quantum source[J]. Science 368, 1487–1490 (2020).
Zhang, J. et al. Spatially entangled photon pairs from lithium niobate nonlocal metasurfaces[J]. Sci. Adv. 8, eabq4240 (2022).
Bao, Y. et al. On-demand spin-state manipulation of single-photon emission from quantum dot integrated with metasurface[J]. Sci. Adv. 6, eaba8761 (2020).
Stav, T. et al. Quantum entanglement of the spin and orbital angular momentum of photons using metamaterials[J]. Science 361, 1101–1104 (2018).
Georgi, P. et al. Metasurface interferometry toward quantum sensors[J]. Light Sci. Appl. 8, 70 (2019).
Wang, K. et al. Quantum metasurface for multiphoton interference and state reconstruction[J]. Science 361, 1104–1108 (2018).
Zhou, J. et al. Metasurface-enabled quantum edge detection[J]. Sci. Adv. 6, eabc4385 (2020).
Bazzani, E. et al. The Tree of Light as interstellar optical transmitter system[J]. Preprint at https://doi.org/10.48550/arXiv.2308.01900 (2023).
Lin, J. Y. et al. Photonic lightsails: fast and stable propulsion for interstellar travel[J]. Preprint at https://doi.org/10.48550/arXiv.2502.17828 (2025).
Zhang, P. et al. General passive stability criteria for a Sun-pointing attitude using the metasurface sail[J]. Aerosp. Sci. Technol. 122, 107380 (2022).
Chang, J. et al. Broadband, high-reflectivity dielectric mirrors at wafer scale: Combining photonic crystal and metasurface architectures for advanced lightsails[J]. Nano Lett. 24, 6689–6695 (2024).
Taghavi, M., Sabri, R. & Mosallaei, H. Active metasurfaces for non-rigid light sail interstellar optical communication[J]. Adv. Theory Simul. 7, 2300359 (2024).
Gieseler, N., Rahimzadegan, A. & Rockstuhl, C. Self-stabilizing curved metasurfaces as a sail for light-propelled spacecrafts[J]. Opt. Express 29, 21562–21575 (2021).
Salary, M. M. & Mosallaei, H. Photonic metasurfaces as relativistic light sails for doppler-broadened stable beam-riding and radiative cooling[J]. Laser Photonics Rev. 14, 1900311 (2020).
Acknowledgements
This work was supported in part by the Natural Science Foundation of Zhejiang Province under Grant (ZCLZ25F0502); in part by the Science and Technology Innovation Leading Talent Project of Special Support Plan for High-level Talents in Zhejiang Province (2021R52032); in part by the China Jiliang University Basic Research Expenses; in part by the Zhejiang University Students Science and Technology Innovation Activity Plan—New Talent Plan (2024R409C054); in part by the Scientific Research Fund of Zhejiang Provincial Education Department (Y202456390).
Author information
Authors and Affiliations
Corresponding authors
Ethics declarations
Competing interests
The authors declare no competing interests.
Rights and permissions
Open Access This article is licensed under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 International License, which permits any non-commercial use, sharing, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if you modified the licensed material. You do not have permission under this licence to share adapted material derived from this article or parts of it. The images or other third party material in this article are included in the article’s Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article’s Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by-nc-nd/4.0/.
About this article
Cite this article
Hu, Z., Gu, M., Tian, Y. et al. Review for optical metalens based on metasurfaces: fabrication and applications. Microsyst Nanoeng 11, 189 (2025). https://doi.org/10.1038/s41378-025-01064-5
Received:
Revised:
Accepted:
Published:
Version of record:
DOI: https://doi.org/10.1038/s41378-025-01064-5
This article is cited by
-
Metasurfaces for biomedical applications: materials design, optical engineering, and system integration
Biomedical Engineering Letters (2025)

























