Abstract
In this paper, we provide an overview and comparison of devices used for optical waveguide-to-waveguide coupling including inter-chip edge couplers, grating couplers, free form couplers, evanescent couplers, cantilever couplers, and optical wirebonds. In addition, technology for efficient transmission of light through chips is discussed including guided mode and free form photonic vias for substrates including silicon, glass, and organics. The results are discussed in the context of potential applications including co-packaged optics switch packages, replaceable biochemical sensors, optically connected memory, optical computing, integrated quantum photonics, and integrated LiDAR systems to show possible improvements in energy efficiency, performance, and cost.
Similar content being viewed by others
Introduction
Photonic integrated circuits (PICs) have seen significant advancement over the past 40 years, highlighted by their rise to dominance in data center interconnects1 and the novel application of PICs in biochemical sensors2, light detection and ranging (LiDAR)3, photonic switching4, photonic computing5, and even chip based 3D printing6. While the high volume manufacturing of PICs has progressed significantly, evidenced by the fact that 103–105 devices can be integrated onto a single die1, their packaging, assembly, and testing has not. Common estimates hold PIC packaging, assembly, and testing responsible for 70−80% of the total cost of PIC manufacturing compared with only 20% for their electronic integrated circuit counterparts7,8,9,10 as shown in Fig. 1. Specifically, the data in Fig. 1a shows the breakdown for a state-of-the-art electronic system-on-chip (SoC), demonstrating that front-end-of-line (FEOL) and back-end-of-line (BEOL) fabrication processes in foundries dominates the spending of chip manufacturing11. Meanwhile, the data in Fig. 1b for PICs—in this case indium phosphide (InP)12—shows the situation reversed. Furthermore, the costs can be broken down by process as in Fig. 1c showing the most expensive processes for electronic fan-out wafer-level-packaging (FO-WLP)13, which is contrasted by Fig. 1d showing the percentage of total cost for packaging, assembly, and test processes in integrated photonics12. This discrepancy is not simply due to electronics die being substantially more expensive than photonics die overall—in fact, relatively simple PICs cost roughly equivalent to the most advanced electronic ICs. For example, a state-of-the-art electronic SoC fabricated on a 5 nm process node costs approximately $0.57 per mm2 14 to purchase from a fabless design firm before packaging, while a SiPh15 or InP PIC costs approximately $0.1–0.4 per mm2 16, respectively, before packaging for chip volumes in the several millions. This is reflected by the fact that when we look at an example electronic-photonic system, such a silicon photonic (SiPh) pluggable transceiver, the packaging, assembly, and testing still dominates the overall cost in comparison to the SiPh transmitter (Tx) or receiver (Rx) die and the required electronics die such as transimpedance amplifiers (TIAs) or other drivers8 as shown in Fig. 1e.
In (a), data for Samsung’s fan-out panel level packaging (FO-PLP) manufacturing of the Google Tensor G2 system-on-chip (SoC)11. The data in (b) shows similar data for the manufacturing of a fiber coupled, integrated InP PIC with modulators and detectors12. The data in (c) is for FO-WLP, but nonetheless provides information on a breakdown by process for electronic packaging cost drivers13. Similarly, (d) does the same breakdown by process for the InP PIC12. Finally, (e) provides the overall cost breakdown for a ubiquitous electronic-photonic system—a SiPh transceiver8
Current techniques in semiconductor packaging and assembly
Electronic packaging and assembly strategies
Understanding the current technology landscape in electronic and photonic packaging can help provide answers to as to why photonic packaging and assembly continues to prove a significant challenge. Starting in 1947 with the first discrete wire connection17 in the first transistor18 and continuing with the development of ball and wedge bonding in the 1950s by Bell Labs19, electrical components have been connected using gold (Au), aluminum (Al), or copper (Cu) based wirebonds in a serial process. Today, electrical wirebonds (a 1D architecture using the nomenclature from ref. 20) can be made with 35-μm pitch21,22 and a time per bond of ~0.1 s23 using automated tools24,25, and remain the most ubiquitous interconnect modality for applications requiring <103 connections. In the 1960s, IBM proposed26 and introduced27 the first parallel process for electrical interconnection with the advent of the flip chip solder connection (a 2D architecture). Specifically, ball grid arrays (BGAs) using solder bumps coined controlled-collapsed-chip-connections (C4 bumps)28 enabled multiple connections from a die to a printed circuit board (PCB) in a single bonding step. Over time, in order to effectively scale the number of connections made to the chip, flip chip packaging strategies became more advanced with the number of intermediate substrates scaling up, as in Fig. 2a, and the solder bump diameters scaling down, as in Fig. 2b, c. Thus, for high volume applications requiring >103 electrical connections to be made, flip chip technologies are typically used.
In (a), the evolution from wirebonding (1D) to stacked die (3D) shows the increasing complexity of wiring within packages368. The plot in (b) shows the progression of bump technology over time (data up to 2020 is from ref. 369, with data after 2020 and future projections from refs. 30,370 which was in part derived from ref. 371), while (c) shows cross sections of the bumps used today, with both figures demonstrating current bumping pitches of <10 μm are possible370. The images in (d) show the common bonding processes used during assembly, which depends on the bumps technology used
Currently, advanced electronic packages are typically composed of an advanced node electronic die bonded to a redistribution layer (RDL) or embedded bridge (called a 2.1D architecture) which is fabricated on an organic package substrate, or a die bonded to a silicon interposer which is bonded to the organic package substrate (termed 2.3D or 2.5D architecture depending on if the interposer is thick enough to have electrical vias or not)29. Typically, the purpose of adding RDLs, bridges, or interposers is to achieve a higher level of electrical fan-out within the package—these components contain no functional devices on them, only Cu traces or vias. The fan-out itself is achieved by scaling the bump technology as one goes higher into the package stack, with Cu pillar bumps at the die-to-interposer level having pitches <20 μm compared to the C4 connections between the package substrate and the board having >100 μm pitch30. Stacking of electrical die atop one another (a fully 3D architecture), a practice common in the packaging of advanced dynamic random access memory (DRAM) chips31 and complimentary metal-oxide-semiconductor (CMOS) image sensors32, is also possible. These 3D architectures target connection densities >104 connections per mm2 and bump pitches <10 μm by using through-silicon-vias (TSVs) combined with hybrid bonding33, which will be described below.
The processes used in the assembly and bonding of the above architectures can be grouped into four categories: mass reflow, thermocompression bonding (TCB), laser assisted bonding (LAB), and hybrid bonding. Cross sectional schematics showing the basic operation of each process can be found in Fig. 2d. The type of bonding process used depends on the type of bump used. For example, mass reflow, where die are assembled onto substrates using high speed, automated pick-and-place tools and then collectively heated in a reflow oven such that the C4 bumps melt and solidify, is often used in the case of C4 bumps where the pick-and-place alignment can be quite poor and still result in high yield. On the other hand, TCB, where pressure and heat are applied to the die during the pick-and-place step, is used with μ-bumps or Cu pillars where higher alignment accuracy is needed along with pressure in order to prevent or remove oxide films on the electrical bumps which lead to electrical failure. Because TCB is a serial process where one die is bonded after the next, the lower throughput34 for such processes is often seen as a substantial downside. As mentioned above, hybrid bonding is used with planarized, solderless Cu/dielectric interfaces to achieve the highest possible connection density. Hybrid bonding involves taking a surface composed of Cu pads and dielectric passivation (such as silicon dioxide (SiO2), silicon oxynitride (SiON), or silicon carbonitride (SiCN)), planarizing it using chemical mechanical polishing (CMP), activating the surface by applying plasma, and then bonding the dielectric surfaces and Cu surfaces such that the entire surface of each die is part of the bonding interface35. The hybrid bonding process can be done at the die level or wafer level, although the ability to determine known-good-die (KGD) prior to bonding led to the development of collective die-to-wafer (D2W) bonding36 (also called advanced chip-to-wafer bonding)37. Collective D2W bonding increases throughput while allowing for determination of KGD by performing high speed pick-and-place of die onto a carrier wafer bonded using a sacrificial bond material (a low time, low temperature process), and then collectively bonding all of the die on the carrier wafer to the target wafer in a single bonding step (a single long, high temperature process).
Of critical importance to each of the above bonding and bumping technologies is the role of the automated pick-and-place die and wafer bonders. These advanced tools allow for high accuracy die or wafer alignment simultaneously with high speed, and are often equipped with additional capabilities such as epoxy dispense, heated tips and stages, and ultraviolet (UV) curing lamps. As one might expect, there is a tradeoff between speed (i.e., throughput) and alignment accuracy on these tools, which becomes relevant when moving to increasingly smaller bump pitches. Standard pick-and-place tools used for die attach prior to mass reflow have alignment accuracies of 10–20 μm and throughputs upwards of 18,000 units per hour (UPH)38, with higher accuracy models being available for placement precisions <3 μm with speeds of >2000 UPH (assuming 1–2 s per bond)34 for TCB39,40, and the highest accuracy die bonders being able to align to <0.3 μm with speeds of 2000 UPH for hybrid bonding41. Wafer bonders usually offer an order of magnitude better alignment accuracy than die bonders, with tools offering precisions <50 nm for 300 mm wafers42 and post-bond accuracies of <150 nm shown experimentally for Cu–Cu interfaces43. At the research stage, firms are also moving towards panel level bonders in order to enable the full potential of substrates such as glass or organics which can be fabricated at the panel level44. For electronics, the combination of methods such as collective D2W or panel level assembly with hybrid bonding in 3D architectures, which has shown to be possible with <2 μm final accuracy45, could prove critical to continued exponential scaling of performance in the most advanced systems. However, the application of these advanced flip chip packaging techniques to photonics could prove to have an equal, or potentially larger, impact on future electronic-photonic systems.
Photonic packaging and assembly strategies
One of the primary barriers to cost-effective photonic packaging and assembly is the active alignment and bonding of single mode fiber (SMF) arrays to PICs using UV-curable epoxies in a serial fashion, increasing cost and limiting throughput. Active alignment is the process of bringing a fiber array (or die) close to another die, injecting light through the fiber, and constantly scanning the position of the fiber array until maximum output power is measured through a second fiber in the array connected using a loopback, as shown in Fig. 3a. Once the maximum output power is measured, the fiber array is typically bonded into position using UV-curable epoxies, and the fiber position must be actively maintained and manipulated during the curing process as the epoxy will shrink or expand while solidifying. The technique of active alignment is currently the accepted method for fiber-to-chip attach or chip-to-chip attach in integrated photonics46. This is contrast to passive alignment, the method ubiquitously used by high speed pick-and-place die bonders of electronic packaging, which relies only on locating alignment features (fiducials) to determine where the center of a component is, as shown in Fig. 3b, and then placing that component at a given coordinate47. Not only are current active alignment based packaging methods costly in photonics, but they are severely limited in terms of their ability to scale optical input/output (I/O), or the number of connections made to the PIC. For example, SMF arrays operating near datacom (1310 nm) or telecom (1550 nm) wavelengths have minimum pitches of 127 μm due to their 125 μm cladding as shown in Fig. 3c, meaning a maximum density of only 8 fibers per mm is possible. To add to this challenge, as shown in Fig. 3a, multiple input and output ports to the die must be consumed with alignment loopbacks—waveguides and fibers which serve no other purpose other than to ensure fiber attach can be accomplished.
In addition to the fiber attach process, another photonic packaging challenge is the integration of devices from separate materials into a single package or across a single die. Four primary techniques have been developed to address this as outlined in Fig. 4: hybrid integration48, micro-transfer printing (μ-TP)49, heterogeneous integration50,51, and monolithic integration52. The four strategies each have tradeoffs and vary in terms of their level of integration and maturity. For instance, hybrid integration (shown in Fig. 4a) fabricates die separately in optimized foundry process flows and then uses pick-and-place of die on a submount for connectivity. The process of μ-TP, shown in Fig. 4b and still in the proof-of-concept stage, operates similar to hybrid integration, except the pick-and-place happens at the device level using a specialized plastic stamp. Thus, devices are ultimately transferred across the entire target wafer with only a few pick-and-place steps. The processes offering higher levels of integration include wafer bonding (heterogeneous integration, shown in Fig. 4c) or epitaxially growing (monolithic integration, shown in Fig. 4d), or combining the two53, the new material and then performing standard lithographic patterning thereafter. While these techniques offer higher levels of integration, they present difficulties in terms of the co-processing of the SiPh and the non-native components and the ability to test or inspect the non-native components for KGD.
In (a), the hybrid bonding of PICs from a few example material platforms is shown. The devices from those different material platforms can also be integrated using other pick-and-place methods such as μ-TP in (b). The devices can also be integrated in the FEOL using wafer bonding (i.e., heterogeneous integration) as in (c) or epitaxial growth (i.e., monolithic integration) as in (d)
For solutions to the challenge of fiber attach and material integration, one needs only to look to microelectronics packaging where cost-effective I/O scaling is achieved using wirebonding or flip-chip connections depending on the number of connections needed. The development of optical equivalents to wirebonds, flip-chip connections, and electrical vias has therefore become a fervent research area, with the goal of improving the cost, size, weight, and power (C-SWaP) in photonic packages. As this research area is currently in its infancy, these optical equivalents are being developed without standards in terms of materials, processes, and performance metrics. To this end, it is useful to compile a database of available optical devices which can provide high-efficiency inter-chip connections. The term “inter-chip” refers to coupling from a single mode waveguide on a PIC (also referred to as a die or chip), interposer, or board to a single mode waveguide on a separate die, interposer, or board. Similarly, “intra-chip” refers to connections made between different layers of the same chip, and designs meant for intra-chip coupling through the bulk of a chip are referred to as photonic vias. In general, inter-chip optical coupling present substantial challenges versus intra-chip coupling such as needing to couple over >1 μm distances without high-resolution inter-chip alignment tools and difficulty associated with routing light out-of-plane. However, continued advancements in automated, pick-and-place die bonder resolution have demonstrated sub-micron placement accuracies with high speed using commercially available equipment54,55. Other bonding methods have evolved as well, including wafer scale processes such as collective die-to-wafer hybrid bonding56 or micro-transfer printing of thick components49,57. Novel fabrication techniques for creating on-chip 3D micro-optical components such as grayscale lithography (GSL)58,59,60,61,62, two-photon polymerization (TPP) lithography23, and surface controllable refractive index via beam exposure (SCRIBE)63,64 have unlocked integration possibilities not previously considered. Thus, with the need for optical I/O densities >8 connections/mm and C-SWaP scaling for ever more complex integrated photonic systems, inter-chip optical couplers have become a critical enabler for future PIC applications from the visible to the mid-infrared (mid-IR).
The design of these inter-chip connections would ideally meet the requirements laid out in Table 1 to be useful in practical applications and provide an improvement over fiber-to-chip packaging. These performance metrics will serve as the basis of comparison for these inter-chip couplers and a starting point for future comparisons. The following section discusses different types of inter-chip optical couplers and their operation.
Types of waveguide to waveguide couplers
The optical coupling designs proposed in literature for inter-chip coupling can be categorized into six different types: edge, grating, free form, evanescent, cantilever, and optical wirebonds. Schematics showing the general operation of these six types can be found in Fig. 5. Additionally, Fig. 6 shows values for each figure of merit, and for each coupling type, as defined in “Introduction”. The values for each figure of merit shown in Fig. 6 are averages taken over each data point in Tables 2–8. For the metrics of throughput and foundry compatibility, each coupling type was qualitatively assessed based on the fabrication, packaging, and assembly processes used (e.g., standard 193/248 nm deep UV (DUV) photolithography versus custom two-photon polymerization) and the materials required. The plot in Fig. 6 can be used as a guide throughout the following sections showing how coupling types compare against one another and which may be best suited for different applications. The remaining sections describe the operation of each coupling type, provide examples of notable designs and results, and present tables quantitatively comparing each coupler that was reviewed.
The images each show an example scenario of coupling between SOI waveguides (shown in red) on two separately fabricated chips, with (a) representing grating-to-grating coupling, (b) edge coupling, (c) evanescent coupling, (d) cantilever coupling, (e) free form coupling, and (f) optical wire bonding. The blue arrows indicate the direction of optical propagation before, during, and after coupling. Any pink structures in the images indicate components which are typically of a different material composition from the waveguides
The solid lines plotted for each coupler category represent averages for each figure of merit. This data was compiled based on the values reported Tables 2–8 of this study, with the exception of throughput and foundry compatibility. Specifically, the following references were used for each coupling class: edge75,76,78,79,81,82,83,88,89,91,163,322, grating100,101,102,124,126,127,128,323,324,325,326,327,328,329,330,331,332, free form58,59,130,131,133,136,140,207,220,221,333,334,335,336,337,338,339, evanescent9,50,56,153,154,155,156,162,163,244,249,253,289,340,341,342,343,344,345,346,347,348,349,350,351,352,353,354,355,356,357,358,359,360,361,362,363, cantilever164,165,166, and optical wirebonds64,163,175,176,177,178,179,180,181,182,183,184,185,193,364
Basics of optical coupling
The exact theory governing efficient coupling ultimately depends on the coupling design; however, a few common relationships can convey the relevant trends. To achieve efficient and alignment tolerant coupling, the incident and transmitted modes must be well size and shape matched, and reflections and misalignment should be kept to a minimum. The effect of these factors can be seen using the equation for coupling efficiency (η) between two overlapping modes65:
where β1, E1(r, ϕ) and β2, E2(r, ϕ) are the propagation constants (β = neffk0 and k0 = 2π/λ) and electric field distributions for the incident and transmitted modes, respectively. This is shown schematically in Fig. 7a for incident and transmission waveguides with refractive indices of n1 and n2, respectively. While obtaining analytic solutions to this equation is challenging even for relatively simple scenarios, by assuming the incident and transmitted modes are roughly Gaussian (\(E(r)={E}_{0}\exp (-{r}^{2}/{w}^{2})\) where w is the beam waist), we can numerically solve the integrals to gain insight. The results are shown in Fig. 7b which shows how mode size mismatch (w1/w2) and reflections (i.e., effective refractive index mismatch neff,1/neff,2) decrease coupling efficiency, with the size mismatch playing a more important role. Similarly, Fig. 7c highlights how modal misalignment impacts coupling efficiency while showing the typical scale of misalignments encountered for different fabrication processes such as wafer bonders, high accuracy pick-and-place tools, and ultra fast pick-and-place tools. Note that overlay misalignment in lithography is not plotted because the error is typically less than one-third of the critical dimension size (e.g., less than 30 nm for a 90 nm node process)66, and is thus can assumed to be less than 50 nm. It is interesting to note that symmetrically increasing the mode field diameter (MFD) of the incident and transmitted modes can increase alignment tolerance. As shown in the plot, the increase in MFD can occur by switching to material platforms which allow for a low refractive index contrast (Δn) such as ion exchange (IOX) waveguides on glass or polymer core/cladding in an effort to increase evanescent field size. Switching away from high Δn material platforms such as SiPh or InP has the downside of requiring larger waveguide pitches (to accommodate the larger MFD), and larger bending radii to maintain low loss routing across the die—both of which significantly impact the connection density of the circuit. This tradeoff—the desire for larger MFDs to increase alignment tolerance for chip assembly while simultaneously requiring smaller MFDs to increase connection and device density—is one of the most critical ones within photonic packaging. In the following sections, additional relationships critical to each coupling class will be presented in their individual sections, with the notion that modal overlap must be present in some level for every coupling type.
In (a), a diagram showing the concept of modal overlap between an incident waveguide with n1 (β1, w1) and a transmit waveguide with n2 (β2, w2) where some misalignment exists between the two modes. Meanwhile in (b), the effect of mode size mismatch and reflections are highlighted while in (c) the effect of misalignment and mode field diameter size
Edge couplers
Edge or butt coupling involves aligning coupling elements on separate die along the direction of propagation such that light does not change planes vertically. Like the fiber-to-chip case, the couplers are typically located along the edge of the PIC and require processing such as deep reactive ion etching (DRIE), or dicing and polishing, to create high-quality facets67. In addition, for inter-chip coupling, a separate process is typically needed to etch a trench in the lower die to properly align the couplers in the vertical direction. The inverse process could also be used—selective deposition of a mesa on the lower die achieves the same goal. In either case, when well aligned it is the minimization of reflection at the chip interface and matching of the size and shape of the mode between chips which enables low coupling loss. Numerous strategies have been employed to achieve low coupling loss in the context of fiber-to-chip coupling, including tapers with linear and nonlinear profiles, multi-tip (trident) tapers, multilayer silicon nitride (SiN) assists, cascaded multistage tapers, 3D tapers, suspended tapers, and sub-wavelength gratings68,69,70,71,72,73,74. Similar techniques have been employed for the inter-chip case, with inverse tapers, multi-mode interferometers (MMI), and graded index (GRIN) lenses being the most promising.
Inverse and standard taper couplers
Inverse taper designs decrease the waveguide width at the interface between chips, thus expanding the MFD and enabling lower coupling losses and wider alignment tolerances. In ref. 75, an InP laser diode was pick and placed using passive alignment with ±0.3 μm accuracy onto a SiPh die (labeled SiPho in Fig. 8a, b), showing 1.5 dB insertion loss using a InP to SiN inverse taper. A similar hybrid integration example from refs. 9,76 demonstrated an InP PIC pick and placed onto a Si die and passively assembled using solder self-alignment with 3D mechanical stops as shown in Fig. 8c, expanding the effective lateral alignment tolerance to ±25 μm. Both nonlinear inverse tapers and metamaterial tapers were compared experimentally, demonstrating chip-to-chip losses as low as 0.25 dB and 0.9 dB for the inverse taper and metamaterial taper, respectively76. Coupler simulations76 showed a 1-dB vertical misalignment tolerance of only ±0.5 μm and measured solder self-alignment data showed that a deviation of ±0.5 μm between the die and interposer could cause zero self-alignment to occur77. Other inverse taper designs have used index controllable silicon oxynitride taper claddings to provide efficient mode transformation from an InP semiconductor optical amplifier (SOA) to a silicon-on-insulator (SOI) die78. Multi-tip inverse tapers have also been used to connect an InP die to an SOI PIC79.
In (a) and (b), schematic and experimental images, respectively, of an inverse taper edge coupler from a SiN waveguide to an InP DFB laser passively assembled using pick-and-place tools (reprinted with permission from ref. 75 ©2023 IEEE). In (c), a side view of InP to SOI coupling is shown, where the red rectangle is the InP die and the gray etched shape is the SOI die. The black line across the InP and SOI is meant to show the waveguides on both surfaces being coupled. An experimental microscope image of the side view is shown on the bottom, demonstrating the submicron 3D alignment using mechanical stops (reprinted from ref. 372, ©2018 T. Barwicz et al. with permission from Elsevier and licensed under CC BY-NC-ND 4.0). In (d), a hybrid DBR laser based on flip-chip GaSb amplifiers and SOI waveguides is shown48 (©2022 N. Zia et al., licensed under CC BY 4.0). In (e), coupling between polymer waveguide layers using MMI devices92 (©2024 M. Weigel et al., licensed under CC BY 4.0). In (f, g), SOI to SOI or SiNx to SiNx flip-chip coupling using integrated GRIN lenses322 (©2025 D. Weninger et al., licensed under CC BY 4.0)
Standard taper inter-chip edge couplers have also been used for chip-to-chip connections at mid-IR wavelengths80,81,82,83. For example, two die were mechanically aligned by interdigitating Cu nodules protruding from the chip’s edge and applying force horizontally to decrease the inter-chip gap size. To reduce index mismatch between germanium-on-silicon (GOS) waveguides, an arsenic trisulfide glass (n = 2.4 at λ = 8.4 μm) was dispensed at the interface80,82. Coupling losses of 4.1 dB at a 1.4 μm inter-chip spacing and 8.4 μm wavelength were demonstrated81. Couplers utilizing angled waveguides are also common when integrating active III-V devices to minimize back reflections into the gain area. Such a design is shown in Fig. 8d for a flip-chip, hybrid gallium antimonide (GaSb)-SOI laser at 2 μm wavelength with a simulated loss of 1.8 dB and estimated experimental loss of 5 dB48. Tapered spot size converters (i.e., standard tapers) can also be combined with the angled waveguides to improve coupling, such as in ref. 84, where an actively aligned GaSb-based SOA experimentally demonstrated 2.7 dB insertion loss to a SiPh die to form a hybrid laser from 1955 to 1992 nm wavelength. Simulated losses as low as 1.46 dB have been demonstrated for the same design and a similar wavelength regime near 1.9 μm85. Inter-chip edge coupling techniques have also been used in flip-chip hybrid integration of quantum cascade lasers (QCLs) with GOS waveguides for use in the 5.7–5.9 μm wavelength regime86 and μ-TP of GaSb-based gain elements to SiPh die for use near 2 μm wavelength87.
Multi-mode interferometer couplers
MMIs, traditionally used as on-chip splitters or combiners, can also be used to vertically couple between two separate layers of the same die or between two separate die. Such couplers, thus far only shown for intra-chip coupling, have included H-bar MMIs88, standard MMIs89,90,91,92,93, and edge assembled block MMIs94. The advantage of using MMIs is their inherent fabrication simplicity while allowing for vertical integration, at the expense of length sensitivity (due to the reliance on a mode resonance for efficient coupling) and longitudinal footprint. For instance, in refs. 91,93, and ref. 88, the total lengths of the MMI couplers are 3223 μm, 486 μm, and 241 μm, respectively. The vertical distance between waveguide layers can be significant though using MMIs, coupling over separations of greater than 20 μm with only 2.4 dB loss (which can be decreased to close to 1 dB according to simulation if lithographic alignment is improved)93. An image of a MMI design and its application to 3D photonic packaging are shown in Fig. 8e92.
Graded index couplers
Integrated GRIN lenses have also been proposed for efficient inter-chip coupling. Previously, SiON (also referred to as SixOyNz) GRIN edge couplers were experimentally demonstrated with fiber-to-chip coupling losses <0.5 dB from 1520 to 1640 nm while using standard foundry processes95. An expansion of this design enables inter-chip connections with simulations showing <0.5 dB total loss with a 1-dB bandwidth of >360 nm. The proposed GRIN inter-chip coupler is shown in Fig. 8f, g, where GRIN lenses are fabricated on separate die and flip-chip bonded. Moreover, the inter-chip GRIN coupler does not require the coupling to occur at the edge facet, allowing for 2D arrays of inter-chip connections, and it is able to accommodate an inter-chip gap size of >10 μm with a wide underfill refractive index tolerance. The GRIN coupler can also be paired with evanescent couplers to provide a universal interface for heterogeneous integration and fiber-to-chip coupling across material platforms. These advantages come at the cost of increased fabrication complexity, especially involving film stress management across the wafer.
In summary, the use of edge couplers for inter-chip connectivity has a number of advantages. Edge couplers can achieve <1 dB of loss over a wide wavelength window with polarization independence, and foundries include low loss edge couplers as standard process design kit (PDK) components96. However, they have larger footprints along the propagation direction as adiabatic tapers or MMIs can extend >1 mm9,76,78,88,91, along with a low misalignment tolerance made worse by potential for substrate leakage. The requirement that they be located at the edge with a high-quality facet also precludes them from being used in 2D arrays, thus limiting their I/O density.
Grating couplers
Grating couplers use a periodic etch with a period on the order of the wavelength of light to alter propagation direction by close to 90°. Parameters of grating couplers such as the grating period (Λ) and deflection angle (θ) are all directly tied to wavelength (λ) via the Bragg condition97:
where neff is the effective index of the waveguide mode and nout is the refractive index of the output medium. During fiber-to-chip coupling, the mode also typically undergoes spot size conversion to match the size of the waveguide mode, accomplished through an adiabatic taper. For inter-chip couplers, grating couplers are located on either die such that light enters into the lower die grating, diffracts upwards at an angle towards the upper die, and is coupled in plane upon incidence on the other grating. By changing optical propagation direction, the impact of the inter-chip gap size as well as its material (i.e., refractive index) are reduced. Enabling large vertical inter-chip gap sizes is important for several reasons: (1) it allows for simple electrical integration using standard Cu μ-pillar bumps or a similar variant, (2) it allows for thick BEOL claddings (>4–5 μm) with minimal effect on coupling efficiency, and (3) it increases the fabrication tolerance of the electrical interconnects (or other adhesive layer) as well as the BEOL thickness beyond the expected ±10%. The fact that grating couplers have been extensively studied in fiber-to-chip packaging98,99, are foundry compatible and found ubiquitously in PDKs96, and enable wafer level test, all combine to make grating couplers an appealing inter-chip coupling solution.
Several inter-chip grating coupler prototypes have been fabricated which highlight these advantages. Both Cu μ-pillar100 and In bump self-alignment101 have been used to achieve <1 μm lateral alignment of grating couplers on separate die. In ref. 100, the gap between the two die was 20 μm and was filled with air—demonstrating a high vertical and refractive index tolerance. In Fig. 9a, b, the cross sectional schematic and fully packaged system are shown for the In bump prototype101. A summary of inter-chip grating couplers is presented in Table 3. The presented data also illustrates a few of the challenges of grating couplers, including typical coupling losses above 3 dB, often made worse by substrate leakage for fully etched gratings. In addition, they suffer from high wavelength sensitivity with 1-dB bandwidths <±40 nm (with most <10 nm).
a, b Grating-to-grating couplers using In bump self-alignment (reprinted with permission from ref. 101 ©Optical Society of America). c, d L-shaped grating for topological unidirectional resonance with inter-chip wavelength response102 (©2024 H. Wang et al., licensed under CC BY 4.0). In (e), SOI to Si3N4 intra-chip grating couplers using top and bottom reflectors are shown (reprinted with permission from ref. 126 ©Optical Society of America)
A variety of strategies are available for improving inter-chip grating couplers. As discussed in ref. 102, fiber-to-chip packaging designs have used L-shaped103,104,105,106,107,108, interleaved109,110,111, multilayered112,113,114, overlayed115,116,117, and tilt-etched118,119 strategies to minimize the wasted downward diffracted light. The use of a bottom mirror120,121, distributed Bragg reflector122, or bottom multilayer reflector123 have all shown lower coupling losses, limited substrate leakage, and wider bandwidth for fiber-to-chip couplers as well. The apodization of gratings, or changing the period along the propagation direction, also helps reduce back scattering and tailor the MFD and scattering angle102. Using an optimized L-shaped geometry with apodization, as shown in Fig. 9c, has shown results of 0.94 dB with a 21 nm 1-dB bandwidth near 1550 nm for inter-chip coupling, as shown in Fig. 9d102. This wavelength dependency may be improved by using high index guiding vias which have a simulated 1-dB bandwidth as wide as ±100 nm near 1550 nm124,125. Adding a bottom mirror, as shown in Fig. 9e, has also shown improved performance for intra-chip coupling126,127 and has simulated similar improvements for inter-chip coupling128. While high index vias or bottom mirrors are generally not desirable, strategies which can combine such reflectors in a foundry compatible fashion would allow grating couplers to achieve even wider appeal as complete inter-chip packaging solution.
Free form couplers
Free form coupling describes the use of micro-lenses and mirrors to reflect light from an input waveguide out of plane and then reflect and/or focus the beam into the output waveguide on another die. Historically, semi-parallel fabrication techniques have been used for 45° mirrors, including laser ablation129 and dicing130 with specialized blades followed by a metal deposition to improve reflection. An example is shown in Fig. 10a, b where a 45° total internal reflection (TIR) mirror in an interposer polymer waveguide was formed using femtosecond laser ablation and the reflected light coupled to a chip level SiPh grating coupler131. Adding a microlens above the 45° mirror through RIE or polymer reflow can expand alignment tolerances, which is especially important for interposer to board connections where ball grid array solder bumps can have diameters of >100 μm132. Free form coupler designs using 45° TIR mirrors fabricated through other processes, such as angled focused ion beam (FIB), have been demonstrated as shown in Fig. 10c133. While FIB etching is often a serial process, it can be extended to parallel reactive ion etching (RIE) based processes with hard masks to create angled facets for vertical couplers134,135. Another approach is the use of self-assembled DNA pyramids as micro mirrors for out of plane coupling as shown in Fig. 10d, e136. The angle of the reflecting facet can be controlled by manipulating the lattice structure of the crystallite and the plane of that lattice structure which interfaces with the substrate136. Reflectivity can be further improved by coating the resultant self-assembled structures with a metal such as silver (Ag)136.
In (a, b), a 45° TIR mirror in a polymer waveguide is used to couple to an SOI grating on chip (reprinted from ref. 131, with the permission of AIP Publishing). In (c), a combination of a TIR mirror in InP and an SOI grating couple light between pick-and-placed InP die (reprinted with permission from ref. 335 ©Optical Society of America). d, e DNA self-assembled pyramids (reprinted with permission from ref. 136, ©2023 American Chemical Society). In (d), a schematic of how DNA forms faceted structures which can be used as out of plane reflectors. In (e), SEM images show how the facet angles can be controlled during self-assembly. In (f, g), a schematic and simulation data for a flip-chip coupler using TPP fabricated micro-reflectors are shown139 (©2023 S. Yu et al., licensed under CC BY-NC-ND 4.0). In (h), an experimental image of the reflector is shown which was used for fiber-to-PIC coupling (adapted with permission from ref. 141 ©2024 Chinese Laser Press). In (i), an SEM image showing a TPP fabricated micro-reflector connecting two separate chips which are not flip-chipped, but placed side-by-side142 (©2024 H. Huang, licensed under CC BY 4.0). In (j), a side view of a coupler fabricated using greyscale and NIL is shown58 (©2022 Nakamura et al., licensed under CC BY 4.0)
With the advent of multiple methods for 3D nanoscale lithography including TPP23, GSL, nanoimprint lithography (NIL)137, and thermal scanning probe lithography138, using curved micro mirrors and lenses for inter-chip coupling has become a feasible design strategy. An inter-chip coupler using TPP printed reflectors is shown in Fig. 10f, g139. Fabricating mirrors in RIE trenches is typical with free form couplers to center the reflector with the optical mode in the waveguide. In the simulations conducted in ref. 140, inter-chip coupling losses <0.25 dB were shown for coupling between polymer waveguides with a core (cladding) refractive index of 1.543 (1.525). Similar simulations in ref. 139 demonstrated <0.49 dB coupling loss between SiN waveguides. By using a curved reflector to simultaneously reflect and collimate, coupling losses can be significantly improved compared to 45° mirrors as wave front distortion is reduced140. These TPP based curved reflectors have been experimentally demonstrated for fiber-to-chip packaging as shown in Fig. 10h, coupling to a 0.220 × 1.5 μm SiN waveguide with a 4.9-μm-thick oxide cladding139 and to a 0.220 × 0.480 μm wide SOI waveguide with a 5.26 μm cladding141. They have also been experimentally demonstrated with <2.5 dB coupling loss for InP chip to SOI chip packaging without flip-chip bonding as shown in Fig. 10i142. Similar inter-chip couplers, shown in Fig. 10j, have been fabricated using GSL and NIL58,59,60,61,62. In this case, GSL was used to define micro-mirrors on an embedded SOI-PIC while NIL created 45° reflectors in a polymer RDL on an organic substrate.
One important benefit of employing mirrors and lenses is that they have low wavelength and polarization sensitivity. This can be seen by the 1-dB bandwidth and insertion loss columns in Table 4, showing bandwidths >±200 nm and operation from the visible (805 nm) and datacom/telecom regime (1310/1550 nm). Free form couplers also have small longitudinal footprints of <50 μm, although this is often larger in practice since adiabatic tapers in Si or SiNx waveguides are needed to increase the MFD59,139. Another advantage is that the vertical alignment tolerance can be expanded to >35 μm allowing for ease of integration with electrical interconnects. The lateral alignment tolerance can similarly be expanded by increasing the mirror size and thus the MFD of the collimated beam. Of course, increasing the mirror diameter limits the lateral channel density, a tradeoff relevant for applications requiring connection densities >50 couplers/mm. While the thermal tolerance of such micro-optic elements needs to be carefully considered, as they are often fabricated from polymers with low glass transition temperatures, it is feasible to use resins which can withstand reflow temperatures of up to 250 °C139.
The primary drawback of free form couplers using TPP or GSL is their serial fabrication. Printing using TPP or GSL requires one field to be written after another, with current write times often on the order of several hours to write tens of couplers23. While parallel grayscale exposures are possible using chrome masks with computer generated bitmap data143,144, the photoresist development rate must be linearly proportional to the exposure dose, restricting photoresist selection to specialized, positive tone resists. For TPP, write time can be improved by over 3 orders of magnitude using techniques such as the shell-and-scaffold writing145, projection-based light sheet exposure146,147, and multiple-beam parallel writing148,149,150. While the outlook of these techniques is extremely promising, other parallel processes, such as using a TPP master mold for NIL or hot embossing, may be required to scale to high volume packaging involving >103 optical connections.
Evanescent couplers
Evanescent couplers transfer optical power between waveguides by bringing them in close proximity and having the modal distribution’s evanescent tails interact. A conventional directional coupler with two side by side, parallel waveguides is a well-understood example97,151. According to Saleh and Teich97, 100% power transfer is possible if zero phase mismatch between each channel is present and the coupler length is set equal to the specific distance at which 100% power transfer is predicted to occur. Modifying this design can allow for minimizing the transfer length and the allowable misalignment between waveguides, usually by using inverse tapers, similar to edge couplers. A single, linear inverse taper can be improved upon, for example, by using a segmented taper instead152,153. Segmented designs divide the taper into multiple linear sections where adiabatic transfer occurs only in the segment where the mode effective indices of the upper and lower tapers are well-matched. Nonlinear tapers achieve a similar result through a continuous profile, but fabrication can be more challenging due to the lithographic accuracy required. Segmented tapers have been used for inter-chip coupling between several different material interfaces such as SOI to SiNx-on-glass152,153, SOI to IOX waveguides-on-glass154, and SOI to a flexible polymer ribbon155. Results have shown coupling losses <0.5 dB with alignment tolerances >±1.5 μm.
Other designs rely on angled tapers, where tapers on one die are intentionally rotated while tapers on the other are kept straight. As the die with the angled taper becomes translationally misaligned with respect to the straight waveguide, the angled taper remains overlapped with the same angle, thus not affecting coupling efficiency. Angled tapers expand translational alignment tolerance by at least 2.5 times compared to other couplers in Table 5, albeit at the expense of the pitch which is restricted to 15.3 μm for an angle of 4.4° and taper length of 200 μm156. A summary of different evanescent coupling designs can be found in Table 5, with a few of these shown in Fig. 11a–i.
a, b SiN on fused silica to SOI PIC (reprinted with permission from ref. 152, ©Optica Publishing Group). In (a), a perspective view of the coupler with the red indicating the double taper on the SOI PIC and the blue indicating the SiN double taper on the quartz interposer. In (b), a side view of an example fully packaged die showing the electronic-photonic integration of Cu micro pillar bumps as well as the use of an underfill epoxy for mechanical stability and refractive index matching. In (c), an IOX waveguide to SOI waveguide evanescent coupler cross section is shown along the propagation direction (reprinted with permission from ref. 154 ©2020 IEEE). d, e Polymer waveguide on board to SOI PIC. In (d), a perspective view of the coupler with the red indicating the multi-segmented taper on the SOI PIC and the blue indicating the polymer laminate on the board (reprinted with permission from ref. 373 ©2016 IEEE). In (e), a cross section of the evanescent coupler system with relevant parameters (reprinted with permission from ref. 374 ©2017 IEEE). In (f, g), SiN to SiN coupling with flip-chip Au–Au thermocompression bonding is shown including an oxide cladding opening on the interposer for decreasing the vertical gap between tapers (reprinted with permission from ref. 253 ©Optica Publishing Group). In (h), an SOI to Si evanescent coupler actuated by micro-electrical-mechanical system (MEMS) devices is shown along with how the coupler could be used as a switch for different layers or die (reprinted with permission from ref. 345 ©Optical Society of America). In (i), a cross sectional view of an evanescent coupler used to connect SOI waveguides to lithium niobate (LiNbO3) waveguides for modulator integration50 (©2019 M. He et al., reproduced with permission from SNCSC)
In addition to taper design, the material systems used play an important role in determining footprint, sensitivity to cladding refractive index, and minimum pitch. For instance, in a high index contrast (HIC) system such as SOI, a segmented taper with a 500-μm-long adiabatic region is required to achieve approximately 3 μm alignment tolerances152,153. In a low index contrast (LIC) system such as IOX-on-glass, the segmented taper requires a 1.5–2 mm adiabatic region to achieve the same alignment tolerance154. Likewise, in a LIC system with a Δn < 0.006154,155, the 1-dB tolerance for the adhesive refractive index was ±0.005 while for a HIC system with a Δn ≈ 0.5–2152,153 this tolerance increased by 15 times. This sensitivity also applies to the thermal tolerance—in a LIC system, a 1-dB excess loss penalty can occur if the cladding, substrate, or adhesive index changes by as little as ±0.003 due to higher operating temperatures154. A LIC system also restricts the minimum pitch to be >20 μm while HIC systems can scale further to <10 μm. Even a conservative pitch of 10 μm for SiNx or SOI waveguides results in a channel density of 100 couplers/mm compared to the 8 couplers/mm when fiber-to-chip packaging.
With that said, LIC systems remain desirable for low loss interfacing with SMF arrays, providing low propagation losses for optical redistribution, and fabrication maturity. For example, in ref. 154, the IOX-on-glass platform demonstrated an average of 0.68 dB insertion loss with 0.1 dB/cm propagation loss, while in ref. 155, the flexible polymer waveguides showed insertion losses of 0.3 dB (2.2 dB) at 1310 nm (850 nm) and propagation losses of 0.4 dB/cm (0.05 dB/cm) at 1310 nm (850 nm)155,157. Because these structures have also been fabricated and tested using foundry compatible materials, including reflow compatible laminates with siloxane based polymers155, and can be manufactured at the panel level, they are ideally suited for optical connectivity and redistribution at the board level following optical fan-out at the package level—a difficult challenge to address for future 3D photonic packaging technologies.
Furthermore, evanescent couplers have also been used for III-V-to-III-V or III-V-to-Si-PIC connections for integration of SOAs, lasers, or modulators. There exists a strong literature foundation in this area describing typical fabrication processes158,159,160,161, usually involving divinylsiloxane-bis-benzocyclobutene (DVS-BCB) wafer bonding of III-V to patterned Si followed by III-V patterning, or micro transfer printing of previously patterned devices using DVS-BCB bonding. Table 6 compares various evanescent coupler designs using wafer bonded or micro transfer printed devices, many of which use similar geometries to Si evanescent couplers. Vertical alignment tolerances are not typically reported, though the DVS-BCB layer thickness is usually <100 nm. The designs more common to III-V based devices are multistage couplers, which use multiple stacked layers for signal transfer, a technique useful when the refractive index difference between two waveguides is large or when large vertical gaps need to be overcome. For example, in ref. 162, a three stage coupler is designed to connect InP waveguides to Si3N4 waveguides which have an index difference of approximately 1.3–1.5. Multistage evanescent couplers were also used in ref. 163 to couple light between input Si3N4 interposer waveguides and SOI die waveguides, using a Si3N4 waveguide between the input and output layers. Such a multistage design could be used to overcome the thick BEOL layers by placing a taper structures midway through the BEOL as an intermediate layer.
In summary, as evidenced by the results in Tables 5 and 6, evanescent inter-chip couplers can achieve ultra low coupling losses of <0.5 dB across with bandwidths >100 nm and lateral channel densities >100 couplers/mm. These advantages can be had while using foundry compatible processes and, by optimizing taper design, can achieve micron scale alignment tolerances so automated pick-and-place assembly can be used. Evanescent couplers also have a few remaining challenges which future design improvements will need to address. For one, because they rely on the evanescent tail distribution, they are typically polarization dependent. In addition, while the longitudinal footprint can be minimized through taper design, these couplers still typically have longitudinal footprints of >100 μm due to the need for adiabaticity. Finally, while the vertical taper-to-taper gap can be effectively increased by using multistage taper designs, these still requires additional waveguide fabrication within the BEOL layers, adding to fabrication complexity.
Cantilever couplers
Cantilever couplers are formed by inducing a tensile stress in a waveguide film and/or cladding that has been released or suspended, eventually leading to the waveguide bending in the out-of-plane direction in order to accommodate the stress buildup. The tensile stress can be induced by several processing techniques, such as a thermal treatment164, ion implantation165, or deposition of different films to increase stress166. When two PIC die are flip chip bonded and the bent waveguides are aligned, light can propagate as if it were traveling through an out-of-plane S-bend. An example of a cantilever inter-chip coupler utilizing a thermal treatment method is shown in Fig. 12a–c with associated experimental data164. In this study, SOI cantilevers were created by patterning, SiOx cladding, and releasing the Si waveguides, and then annealing at 770 ∘C to achieve a 90° bend. The stress buildup occurs because of the stoichiometry—at high temperatures, the non-stoichiometric cladding releases impurities and densifies167, resulting in an increase in stress in the oxide cladding as compared to the 1 μm buried oxide (BOX) layer. Optical transmission data from Fig. 12c shows a wide 1-dB bandwidth from 1500 to 1560 nm. Although the vertical and lateral tolerances were only ±0.5 μm and 1.25 μm, respectively, these can be expanded by using an underfill adhesive with a refractive index closer to that of SiO2. Likewise, a standard 2–3 μm BOX could be instituted by depositing a thicker oxide cladding to increase stress buildup. Also, despite the high temperatures, data from ref. 164 of annealing temperature versus cladding thickness reduction suggests there is a temperature window from 200–400 °C which falls below BEOL thermal constraints, but is still hot enough to form cantilevers at <90° bends. This type of <90° cantilever was suggested as a method to improve post-bond vertical alignment in evanescent inter-chip couplers156, but further investigation is required in this area to verify its feasibility.
a–c Reference 164 (reprinted with permission from ref. 164 ©Optical Society of America). In (a), a schematic of the cantilever coupler. In (b), a side view of the packaged system showing the cantilevers on the top and bottom die and the measurement apparatus. In (c), the wavelength dependence of the cantilever coupler is shown for the TE and TM modes. d–f Reference 168 (reprinted with permission from ref. 168 ©Optical Society of America). In (d), a cross sectional view shows the material system of the ion implanted cantilever coupler for different cantilever taper lengths (VCW(40) stands for vertically curved waveguide with 40-μm long cantilever). In (e), a perspective view is shown of cantilever couplers fabricated using ion implantation. In (f), data shows the loss coupling from the cantilever to a lensed optical fiber for different polarizations and cantilever taper lengths (doubling these values can give a rough estimate for waveguide-to-waveguide coupling). In (g), schematics of a SiON cantilever edge coupler used for wafer level, automated probing of InP devices, and in (h), a top-down experimental image with SiON probe on the left and InP waveguides on the right, after passive assembly173 (©2021 X. Leijtens et al., licensed under CC BY 4.0)
Ion implantation165,168,169,170,171,172 has also been used to induce stress and create cantilever couplers as shown in Fig. 12d–f. The fabrication process involved patterning, cladding, and releasing SOI waveguides, followed by ion implantation perpendicular to the cantilever surface. The cantilever cladding was removed during the release process while the remainder of the die stayed protected—a critical step since ion implantation of Si can dramatically increase the propagation loss (40 dB/mm for a dose of 2 × 1015 cm−2)168. The ion implanted cantilevers were also tapered from 430 nm at the waveguide to 190 nm at the tip over a lengths of 5–40 μm165,168,169,170,171. Once the tapers were bent, the entire system was then cladded with a 2 μm plasma enhanced chemical vapor deposition (PECVD) oxide and SiO2 index matched optical epoxy. A cross sectional view showing the material stack and fabricated couplers can be found in Fig. 12d, e. A minimum fiber-to-chip loss of ~3 dB was achieved for TE mode coupling from a lensed SMF at 1550 nm for a taper length of 5 μm (thus inter-chip loss would likely be ~6 dB). The data showing the effect of polarization, taper length, and wavelength can be found in Fig. 12f. While the loss is considerably higher than the thermally induced inter-chip coupler, the ion implantation coupler has an extremely compact footprint of <5 μm long and 3 μm high when bent.
The deposition of metal or dielectric layers along the surface of a suspended structure is also a method which can be used to for cantilever coupler formation. This concept has been demonstrated in suspended gallium arsenide (GaAs) waveguides with a polymer spot size converter being bent at 90° angles due to stress built up from Ni strips deposited on suspended GaAs166. To overcome the challenge of controlling the cantilever radii to better than ±10 μm, self-alignment structures involving hooks lead to a mechanical forcing of proper bend angle simply by changing the pattern mask. This mechanical structure preserved alignment for cryogenic temperatures when the film stresses would otherwise lead to further cantilever bending. The performance of such cantilever couplers when coupling to a 1.5 μm MFD SMF, can be found in Table 7 alongside other designs. While the measured insertion losses were high, measurements relied on light focused from an objective lens rather than direct fiber coupling, likely resulting in higher coupling loss. The vertical distance from the surface of the chip to the tip of the bent cantilever was also ~100 μm—a substantial vertical gap size. The measured insertion loss also changed by only ~2 dB when measuring at 10 K, indicating the usefulness of such a design during cryogenic operation.
The prototypes involving thermally induced strain, ion implantation, and metal induced strain show that fiber-to-chip cantilever couplers can be used inter-chip coupling by using flip-chip assembly. Cantilever based designs with a released waveguide on only one die have also proven useful for the development of novel probes for high speed photonic testing173. As highlighted by Fig. 12g, h, released SiN waveguides based on the LioniX TriPleX platform174 were used to couple to wafer level InP waveguides at a density of 40 connections per mm using self-aligning etched pits for passive assembly173. The throughput and robustness of the cantilevers are noteworthy, as an array of 32 cantilevers was able to be automatically aligned from a park position in less than 3 seconds and repeated over 2500 times without failure173. These couplers allow for large inter-chip gap sizes while using parallel fabrication processes and achieving low coupling loss. Additionally, if a large area were used to release a cantilever array, the minimum pitch would then be only limited by the cantilever MFD, allowing for high lateral channel densities of >100 couplers/mm. They do, however, include narrow lateral alignment tolerances, fragility in the absence of a sufficient cladding, and high temperature BEOL process requirements depending on the design used. To address these challenges, further studies are necessary. Technologies such as MEMS devices may offer higher control of bending radii or post-bond self-alignment, while different materials such as SiNx might lead to wider alignment tolerances due to an increased MFD. This may also include the development of novel, stress controllable cladding materials to reduce thermal requirements and methods to limit the implicit tradeoff between ion implantation dose and optical propagation loss.
Optical wirebonds
Optical wirebonds are a versatile connector for low to intermediate volumes and are the optical equivalent to the electrical wirebond. These connectors can be polymer waveguides fabricated using TPP175,176,177,178, direct laser writing in mesoporous media64, polymer dispensing with open-to-air drying179, UV direct write lithography180, or flexible ribbon-based processes181,182,183,184,185. The first of these methods, TPP, produces couplers referred to as photonic wirebonds (PWBs), which can be found in Fig. 13a, b175,176,177,178. The chip-to-chip coupling performance showed a 1.6 ± 0.13 dB wavelength-independent coupling loss from 1530–1565 nm while the full spectrum from 1280–1580 nm demonstrated 2.5 ± 1.1 dB of loss. The primary advantage of PWBs is that because the structure is defined during the TPP process, which is completed after the two die have been bonded, the misalignment between waveguides becomes irrelevant. Robustness to mechanical vibration and shock was also demonstrated through a successful 1-meter drop test, which can be improved further by encapsulating PWBs in a rigid low index cladding.
a, b Photonic wirebonds (PWBs)178 (reprinted with permission from ref. 178 ©Optical Society of America). In (a), the mechanism of TPP printing of PWBs for chip-to-chip coupling. In (b), an SEM image of one of the PWBs coupling SOI to SOI. c, d Direct optical wirebonds (DOWs) (reprinted with permission from ref. 179 ©Optica Publishing Group). In (c), the mechanism of printing the DOW for chip-to-chip coupling using a micro-machined glass nozzle. In (d), microscope images of the fabricated DOWs. In (e), a schematic view of SmartPrint technology including the graded index profile for the polymer waveguides and a cross sectional view of the IOX waveguides with polymer cross tapers (reprinted with permission from ref. 180 ©2022 IEEE). f, g 3D ULI waveguide couplers (reprinted with permission from ref. 163 ©2018 IEEE). In (f), a schematic of 3D ULI fabrication along with a zoomed in view of the end terminations for SiN-to-ULI waveguide coupling using adiabatic tapers. In (g), a cross section of ULI waveguides fabricated in commercially available eagle glass substrate and LPCVD oxide cladding with the measured optical mode profile. In (h), the SCRIBE technique is shown for forming free standing micro-optic devices in mesoporous silicon64 (©2020 Ocier et al., licensed under CC BY 4.0). In (i), a schematic of the flexible paralyne waveguide with mirror end facets for optical connections185 (©Reddy et al., licensed under CC BY 4.0)
The second method, the use of a dispensed polymer which dries when it comes into contact with air, has been termed the direct optical wirebond (DOW)179. In fabricating a DOW, a polystyrene powder dissolves in xylene solvent is dispensed from a glass nozzle. The speed and direction at which the nozzle retracted away from the surface determine the size and shape of the wirebond. As the polystyrene solution is dispensed and the nozzle pulled upward, the xylene rapidly evaporates and leaves a solid, optically transparent, well adhered, and geometrically tapered polystrene wire as shown in Fig. 13c, d. The best experimental insertion loss using this method was measured to be 5.8 dB at 1590 nm wavelength when using a wire terminated with a grating coupler. The 1-dB bandwidth was estimated to be less than 10 nm due to the resonance between input and output gratings. The 1-dB alignment tolerance of the DOW to the grating was demonstrated to be >2 μm in simulation. Unlike the PWB case, this was relevant because DOW is likely to be implemented using an automated dispense tool have tolerances of 1–10 μm. By rotating the nozzle 90° during the pulling motion, the DOW can be attached to orthogonal surfaces such as SMFs or chips attached in different orientations. One primary downside of a DOW is that, because of the nature of the deposition method, the control over the shape and size of the wirebond is greatly sacrificed when scaling to smaller feature sizes.
A hybrid between a PWB and an evanescent coupler is what is called “SmartPrint" technology180. This variant is made by bonding a Tefzel film to a glass substrate and patterning evanescent poly(F-SBOC) couplers (see ref. 186 for material information) using Heidelberg UV direct write lithography tools and spatially varying the exposure dose. This technique is useful for forming a 2D connection between different substrates as shown in Fig. 13e. The poly(F-SBOC) tapers are grayscale tapers, meaning the geometry remains rectangular but the refractive index is tapered from 1.482 to 1.490 by varying the exposure dose over a 2 mm length. As was discussed in “Cantilever couplers” section, because IOX-on-glass is a LIC system, precision control over the refractive index of the grayscale taper is required as the tip is designed to be 0.003 below the IOX waveguide core and the poly(F-SBOC) input is 0.01 above the IOX waveguide core. Still, the lateral misalignment tolerance is effectively irrelevant because alignment between poly(F-SBOC) tapers and IOX waveguides is done lithographically.
Another hybrid which is a combination of an optical wirebond and an edge coupler is a 3D ultrafast laser inscription (ULI) waveguide187,188,189,190,191. In 3D ULI, a waveguide is directly written in a transparent medium by scanning a pattern using a pulsed laser with a pulse width on the order of femtoseconds192. Because the pulses have an electric field strength which is roughly equal to the field strength binding valence electrons to the atom (order of 109 Vm−1, or a laser intensity of 5 × 1020 W/m2), nonlinear absorption processes can cause avalanche ionization where electrons have enough kinetic energy to excite other electrons. This total energy is then transferred to the surrounding lattice to make permanent, local volumetric changes of the refractive index, creating waveguides192. Because the process relies on avalanche ionization, a wide range of transparent media can be used including PECVD or low pressure chemical vapor deposition (LPCVD) oxide claddings163. These waveguides have the benefit that they are instantly embedded in the material they are written within, and they can fabricated before or after die pick-and-place. Waveguides fabricated using 3D ULI have simulated interfacial losses to Si3N4 waveguides as low as 0.04 dB by terminating the ULI waveguide atop an adiabatic Si3N4 taper as shown in Fig. 13f, g163,193. Similar to other LIC systems, 3D ULI can form low loss connections to SMF arrays or MCFs and demonstrate propagation losses on the order of 0.3–0.8 dB/cm163.
Traditional 3D ULI can be taken further using the SCRIBE method63,64,194. This technique, shown in Fig. 13h, enables submicron control of the 3D refractive index profile by tailoring the amount of polymer remaining in a mesoporous silicon-based scaffold after exposure63,64,194. Steady improvements have resulted in SMF-to-SCRIBE waveguide losses of <0.45 dB with 3-dB alignment tolerances of >3 μm for SMF-to-chip vertical gaps of >37 μm64. The PWB, DOW, SmartPrint, ULI, and SCRIBE processes are highly customizable, making them well-suited for prototyping environments. However, their fabrication is still a serial process with connection densities <100 couplers/mm, limiting their current use to low volume manufacturing similar to electrical wirebonds.
Flexible polymer based ribbons also offer a way to make connections across large distances with a pre-patterned component. The flexible ribbons usually terminate with a type of coupler mentioned elsewhere in “Types of waveguide to waveguide couplers” section such as a free form or evanescent coupler. For example, in refs. 181,182,183, die-to-board connections were made through a board level evanescent coupler and a die level 45° TIR mirror fabricated via dicing with a double 45° V shaped blade. The evanescent coupler was created by removing the waveguide cladding on the ribbon and board, and pressing the ribbon into the board waveguide with a tool tip of a specific radius, resulting in coupling ratios of approximately 0.3–0.65 (6.36–4.04 dB loss). Another flexible ribbon includes that found in Fig. 13i which uses paralyne C waveguides with 45° reflectors at the waveguide termination184,185. This design also allows for electrical integration on the ribbon by depositing platinum traces atop a PDMS cladding. Simulations of the 30 lowest order modes for a paralyne C waveguide showed <3 × 10−10 dB/cm additional losses caused by the platinum layer interactions for the fundamental mode. This type of opto-electronic flexible ribbon could be used for implantable or wearable biophotonic devices used to probe tissue which otherwise would cause damage when integrated using rigid substrates195.
Photonic vias
Thus far, the discussion has been in the context of inter-chip couplers which transfer light from the surface of one die to the surface of a separate die; however, another category of couplers are those which connect waveguides on the front and back surface of the same die by transferring light through the substrate. This also includes couplers which connect waveguides on separate die by guiding light through a third substrate in between them. These photonic vias are distinct from simple intra-chip couplers, as intra-chip coupling distances are typically short (i.e., <5 μm) and can be accomplished through typical evanescent196, grating126, or edge coupling88 techniques. We can further categorize photonic vias into two different types: guided mode vias and free form vias. In guided mode vias, a via is etched and filled to form a vertical waveguide which light is then coupled into. In a free form via, light is reflected and collimated using micro-mirrors, but is not guided by a waveguide inside the via. In the next two sections, designs for each type of coupler will be presented.
Guided mode vias
To date, there have been few examples of guided mode vias explored. Those that have been developed can be further subdivided into polymer based vias and silicon based vias. A potential fabrication process for a silicon based via in thin Si substrates was outlined in ref. 197 and is shown in Fig. 14a. This process is executed during the same step as the etching of the electrical TSVs, where a DRIE step with an SiO2 hard mask simultaneously etches electrical TSVs and through silicon photonic vias (TPSVs). The diameter of the Si core of the TSPVs are 5 μm and the etch depth is 50 μm. The TPSV trench was filled with SiO2 to isolate the Si core and form a vertical multimode Si waveguide. Similar to a typical electrical TSV process, the backside of the wafer is thinned such that the bottom of the 50 μm TPSV is exposed. A cross sectional image showing the TSV and TSPV alongside one another can be found in Fig. 14b. This process results in the measured near field pattern (NFP) is shown in Fig. 14c, which demonstrated high confinement of light inside the Si core region versus the die which had no TSPV at all. To couple light into the TSPV at the entry or exit, the use of Si grating couplers with Ag reflectors was proposed. Simulation results using 2D finite-difference time-domain (FDTD) show coupling efficiencies as high as 73.7% (1.32 dB loss) for TE polarized 1550 nm light when using the Ag reflector, and efficiencies as low as < 5.5% (11.3 dB loss) when no mirror or TSPV were used. These efficiencies were for one end of the via, so additional loss is expected when including both input and output. Based on the reported data, the TSPVs aid in modal confinement, but are not necessary to obtain moderate coupling efficiencies (>50%). This is important when considering the process constraints required for DRIE as well as wafer thinning procedures.
a–c Si guided mode vias using a grating coupler (reprinted with permission from ref. 197 ©2011 IEEE). d, e A Si guided mode via using a 45° reflector (reprinted with permission from ref. 163 ©2018 IEEE). f, g A polymer guided mode via using 45° reflectors (reprinted with permission from ref. 201 ©2015 IEEE)
Another silicon guided mode via design uses 45° SOI TIR reflectors to couple light in and out of a-Si vias formed in SiO2 claddings as shown in Fig. 14d163. By optimizing the mirror offset (75 nm), the gap (1.3 μm), and the via width (d = 450 nm in Fig. 14d) losses as low as 1.3 dB were achieved163. The fabrication involved tetramethylammonium hydroxide (TMAH)-based Si etching to form 45° reflectors, followed by RIE and a-Si deposition to form the via198—fabricated vias with reflectors can be found in Fig. 14e. Although the via was fabricated in an SiO2 cladding, a similar concept could be used in thin glass substrates. The challenge for via formation in thin glass would be the high aspect ratio deep SiO2 etching (>50 μm) required to form single mode a-Si waveguides with diameters <1 μm. Additional studies would also be needed to ascertain the via propagation loss as simulations in ref. 163 suggest the vias are lossy (>3 dB) above 2-μm thicknesses. Lastly, with the advent of TPP, GSL, and NIL, implementing more efficient reflectors could provide improvements in coupling efficiency and bandwidth.
Polymer based vias offer another option for coupling of light through a chip199,200,201,202. Polymer via designs also use 45° TIR mirrors used to couple light into the via as outlined in Fig. 14f. An example fabrication process outlined in ref. 201 utilized thin glass substrates (150-μm thick), with inclined lithography to pattern the 45° TIR mirrors, resulting in the mirrors shown in Fig. 14g. Inclined lithography offers advantages over GSL and the moving mask method because it does not require a precise exposure gradient and positive and negative photoresists can be used. Furthermore, 2D FDTD simulations determined the losses at 850 nm for a 60 μm diameter via (n = 1.511) in a 100 μm glass substrate (n = 1.503) capped with 50 μm square multimode polymer input and output waveguides. The data showed that 0.5 dB loss was achievable if the mirror angle was kept within ±5° of 45°. Experimental data from ref. 202 demonstrated the vias also show <1 dB of loss for thicknesses >100 μm as well. Fabrication challenges of this design included difficulty in patterning 45° features in air due to the large index contrast, a zero gap mask requirement, and multiple exposures. Solutions include partial substrate immersion in water during exposure and using the glass substrate simultaneously as the mask by having a deposited Cu layer act as a zero gap mask. These solutions provide initial steps towards a parallel lithography technique for angled features, although the process has thus far been constrained to thin with non-transparent substrates being an open challenge. Further study is also needed to address increasing the polymer refractive index and reducing via diameter, leading to higher channel densities. Development of guided mode vias with LIC foundry compatible materials such as SiO2, SiON, or SiN will also enhance integration and adoption. This may require innovative thin film deposition techniques to eliminate voids or high index spin-on variants, like spin-on-glass203 or polymer via filling204.
Free form vias
Designs for free form vias typically involve a TPP or GSL mirror, a 45° mirror, or a grating to reflect light at 90° into the substrate. From there, the opposite surface may have another reflector for coupling between layers of the same chip, or it may have a micro lens to collimate the beam such that it can be directed towards a third, flip-chip bonded die. Designs tackling the latter challenge are important for future 3D integrated photonic packaging, because much of the current focus hasted rested on chip-to-chip or chip-to-interposer coupling without addressing optical chip-to-board and interposer-to-board connections. Two factors make this difficult: a sizeable vertical distance (>>100 μm) and a large refractive index difference between on-chip or on-interposer waveguides and board level waveguides. Designs utilizing free form vias could provide a path forward to overcoming these two challenges in future optical printed circuit boards using fiberless technology. Moreover, a variety of studies have investigated the performance of fully etched air vias versus propagation through transparent substrates205,206,207,208. Because different interposer materials are all widely used including silicon, glass, and organic substrates, separate sections will cover each situation individually.
Glass interposer vias
Glass interposers are appealing due to their advantageous thermal, mechanical, electrical, and optical properties. In addition, glass can be processed at the panel level, providing a scalable option in terms of cost and throughput. For free form vias, glass interposers have potential due to their high transparency in the visible and NIR wavelength regimes. This helps to eliminate the design and fabrication challenges associated with determining a suitable optical via material and etching through glass vias (TGVs). To elaborate, a number of methods have been explored to etch high quality TGVs including sand-blasting209, photosensitive glasses210, focused electrical discharging211, DRIE212, laser ablation213, and deep wet etching214. Of the most promising are wet etching processes whose directionality is enhanced by pulsed laser exposure. These techniques involve either inducing microstructural changes followed by wet etching215 or locally exposing an absorbing organic solution such that the glass is melted at the solution interface216. The drawback of such techniques is that they are serial processes compared to the Bosch process utilized in TSVs. Parallel processes are available, such as UV exposure and baking of photosensitive glass substrates, which changes the exposed area to a ceramic to be wet etched and form high aspect ratio features217. The extinction coefficient k, and therefore propagation loss, has not been characterized for HIC systems on photosensitive glass though. Therefore, optical TGVs which do not require such etching is an advantage and helps make the design agnostic to the type of glass used.
A common design strategy for free form glass vias is to have a 45° mirror on one side of the die and a microlens on the opposite side for collimation and focusing208,218,219. The design found in Fig. 15a208 shows this strategy being used for coupling with simulated losses below 2.43 dB from an interposer polymer waveguide to an SOI PIC waveguide220. The 45° mirror was fabricated using inclined lithography and a 60 μm diameter polymer plano-convex was formed using polymer reflow at 150 °C221. Yet another design with simulated coupling losses <1 dB through a 550-μm-thick quartz substrate can be found in Fig. 15b218,222. Here, the 45° TIR mirrors were formed through 90° V-shaped diamond blade dicing of epoxy waveguides and the 352 μm diameter microlenses were formed by UV epoxy dispensing. This type of strategy has also been used for coupling IOX-on-glass interposer waveguides to an SOI PIC219. The 45° mirrors in this case were fabricated using laser ablation223 while graded index IOX, CO2 laser melting, and RIE of reflowed photoresist masks were explored for micro lenses creation219. In a mirror and microlens design, critical process requirements include the TIR mirror angle, the lens to mirror alignment, and the PIC to interposer alignment. For example, in ref. 208, the TIR mirror angle needed to be 45 ± 4 degrees for mirror to lens loss of <1 dB and the lens to mirror alignment needed to be <3 μm for similar loss.
In (a), a photonic via for coupling through a glass interposer using a back side TIR mirror with a top side lens and on-chip grating coupler (reprinted with permission from ref. 208 ©2016 IEEE). b–d OptoBump technology for coupling through an through a quartz substrate (b) using diced 45° TIR mirrors and dispensed microlenses (reprinted with permission from ref. 218 ©2003 IEEE). In (c), a surface grating with a backside etched Si microlens is used for through silicon optical coupling (reprinted with permission from ref. 207 ©2019 IEEE). In (d), the same OptoBump technology shown in (b), except for an organic substrate (reprinted with permission from ref. 218 ©2003 IEEE). e, f A grating coupler, ruby-doped sapphire ball lens inserted into a cavity formed by laser ablation, and a metal-coated polymer mirror were used for coupling through an organic substrate (reprinted with permission from ref. 206 ©2020 IEEE)
The presented designs represent viable options for coupling light through glass interposers without needing to form guided mode vias. However, these designs only illustrate transfer of light through glass—to the authors’ knowledge there is not a free form design demonstrating coupling between integrated waveguides in the same die. Further investigation on using reflecting micro mirrors patterned using TPP or NIL, or multistage evanescent couplers, may provide different avenues for reaching higher performance and levels of integration.
Silicon interposer vias
Another appealing material for package substrates is silicon, which offers foundry compatibility with the possibility of high density electrical I/O through TSV technology. The primary drawback of Si interposers are their high cost, currently limiting their availability to applications outside of high performance applications. In terms of free form Si vias, the design employed in ref. 205 used a 45° board level mirror to reflect light through an Si interposer to an on-chip curved micro-mirror described in “Free form couplers” section. Simulations determined the MFD incident on the curved micro mirror after traversing a 200 μm Si interposr to be approximately 14 μm. Based on this, a maximum coupling efficiency of 84% was simulated for transmission from the PIC to the board. The NFP and far field patterns (FFP) were experimentally measured, and the mirror element was observed both collimating (24.6° by 30.2° FFP without mirror versus 10.7° by 10.1° FFP with mirror) and expanding the MFD (3.9 μm by 3.6 μm NFP without mirror versus 5.8 μm by 6.3 μm NFP with mirror).
A separate design utilizing an on-chip grating coupler with a bottom reflector and backside etched Si lens to focus the beam onto a board level mirror was experimentally tested in the O-band and C-band207,224. This design is shown schematically in Fig. 15c. The micro-lenses were formed by patterning and reflowing photoresist followed by RIE. The optical power coupled from an angled-polished SMF was compared to a reference system composed of coupling through a thinned 100 μm Si substrate with a standard grating coupler. An additional 3 dB coupling loss was observed where 1.85 dB was attributed to Fresnel loss and 1.15 dB to the microlens interface. The 1-dB alignment tolerance from fiber-to-chip was as follows: ±2.5 μm lateral grating to microlens tolerance, ±7 μm lateral, ± 0.5° angular, and 700 μm vertical microlens to fiber tolerance.
Organic interposer vias
Organic materials offer an even lower cost alternative to glass or silicon interposers at the expense of density and performance225. Most of the organic interposer vias referenced here involve removing a section of the interposer by a dicing or milling process to allow for optical transmission. Similar to the section “Glass interposer vias”, many designs utilize either a TIR or metal-coated board level mirror with a microlens to provide increased alignment tolerance from interposer to board206,226,227,228,229,230,231,232. However, many demonstrated designs for organic substrates terminate at the die level with a vertical cavity surface emitting laser (VCSEL) or vertical photodetector instead of coupling to an on-chip waveguide. Such a design for a polymer interposer is shown schematically in Fig. 15d.
One exception was in ref. 206 which utilized a die level SOI grating coupler to form chip-to-board connections as shown in Fig. 15e, f. A 400-μm-thick glass fiber reinforced organic package substrate was used and a via was created by laser ablation with measured taper angles of 1.6° ± 0.5°. A ruby-doped sapphire ball lens with a diameter of 300 μm was placed in the via and active alignment of interposer-to-board and chip-to-interposer was used for assembly. The measured chip-to-board loss was approximately 3.4 dB including grating coupler, ball lens, and board reflector with a 1-dB lateral alignment tolerance of ±7 μm. Further improvement of this chip to board loss by 1.7 dB was shown to be possible by improving the directionality of the grating coupler233, and implementation of other reflective elements outlined in “Types of waveguide to waveguide couplers” section could provide a path forward for wider bandwidth connections.
Applications
The introduction of the aforementioned couplers from “Types of waveguide to waveguide couplers” section and vias from the section “Photonic vias” to optical systems presents an opportunity to accomplish several objectives. First, these couplers enable optical fan-out. This is the idea of decreasing the I/O pitch at the die level by introducing waveguides and couplers at the package level whose job is only to route the signal from the die to SMF arrays. Because optical fan-out enables orders of magnitude difference between the coupler pitch on the die and at the SMF array while maintaining low propagation loss and low fiber-to-package coupling loss, it allows for the mass parallelization of optical connections. The ability to scale the number of parallel optical connections is a vector currently unavailable to integrated photonic transceivers. Thus, these couplers are increasingly relevant for scaling data capacity in tele- and data-communications networks using state-of-the-art co-packaged optics (CPO) transceiver architectures—and in turn are critical to the artificial intelligence models relying on such cloud infrastructure. Therefore, the implication of using such couplers in CPO systems will be discussed in the section “Datacom and telecom co-packaged optics switch packages”.
The second, but equally as important, the couplers offer the possibility of “fiberless” system level optical connectivity between photonic sources, modulators, switches, processors, amplifiers, and detectors, of which some or all could be on different, optimized material platforms. While μTP or hybrid, heterogeneous, or monolithic integration all consider combining of different material platforms, they are often focused on doing so at the device or die level. However, the inter-chip couplers and photonic vias presented here provide an opportunity to not only accomplish this material integration at the device or die level, but also at the system level. In fact, they enable a second capability on top of system level optical connectivity—they make it possible to have a pluggable or detachable optical chiplet. As will be described in the section “Biochemical sensing”, such interfaces are especially useful in PIC based biochemical sensing where it is desirable to have a system where low cost PICs are disposed of without simultaneously disposing the expensive sources or detectors. All in all, this type of enhanced connectivity is critical to many current photonic applications such as photonic neuromorphic computing, optically connected memory, integrated quantum photonics, and LiDAR systems; thus, the presented couplers will be discussed in the context of such systems in the sections “Photonic neuromorphic computing”, “Optically connected memory”, “Integrated quantum photonics”, and “Heterogeneous integration of components for compact LiDAR systems”, respectively.
Datacom and telecom co-packaged optics switch packages
By 2025, the global datasphere is estimated to grow to 175 Zettabytes, with 46% of the world’s stored data residing in public cloud environments234. To meet demand, data center top of rack switch packages have doubled total bandwidth capacity every two years, with 51.2 Tbps switch packages using 512 electrical I/O, each operating at 106.25 Gbps per lane using the IEEE 802.3-ck standard, being commercially available235,236. Further standardization of 200 Gbps per lane is already underway237,238. However, the power consumed by centimeter long board level Cu traces and the limited pluggable transceiver pitch make continued scaling difficult239,240. This is critical when considering the energy consumption of data centers. For example, data centers already accounted for 18% of all energy consumption in Ireland in 2022 and by 2031 roughly 15% of Denmark’s energy consumption will be due to data center power usage241.
The need to reduce Cu length has forced a transition to CPO where the SiPh transceiver is on the same package substrate as the application-specific integrated circuit (ASIC), as shown in Fig. 16a242. Scaling using this architecture has its own challenges, including the serial active alignment and bonding of SMF arrays to PICs. The assembly challenge is further compounded by the potential need for >103 SMFs to scale to Pbps bandwidth capacities by 2035 as required by current trends235. For example, a 1.6 Pbps switch package containing a central ASIC surrounded by 16 transceivers would require 4000 SMFs assuming 200 Gbps per wavelength with 4 λ/channel. These volumes drastically increase if lower lane data rates from 25 to 100 Gbps per wavelength are used. Considering the limited SMF array channel density of 8 connections/mm, a maximum of 320 fibers could be connected to a 10 mm × 10 mm PIC.
In (a), a plot showing different switch system architectures with varying levels of electronic-photonic integration at the package level ranging from pluggable optics to 3D CPO242 (reproduced with permission from SNCSC). In (b), a plot representing the change in scaling caused by the introduction of integrated, flip-chip optical I/O. The solid blue line shows the exponential increase in commercial switch package bandwidth which has occurred over the past decade due to pluggable transceiver systems235,375,376. The dotted purple line shows projections over next decade using the scaling trend from CPO. The right axis signifies this improvement in package bandwidth has been accompanied by an improvement in the cost per bit (per second). The top axis signifies that each successive improvement in switch package bandwidth also requires a more advanced technology node to scale ASIC performance. The callouts highlight the manufacturers who have successfully commercialized CPO systems377,378,379,380,381. The hatched pink circle demonstrates how the adoption of flip-chip optical I/O not only continues exponential scaling, but provides an increase in scaling rate which can dramatically accelerate the development of switches with >1 Pbps package I/O bandwidth
A scalable solution is to shift the fiber interface to the edge of the interposer or board where there is a larger available shoreline, and use integrated chip-to-interposer couplers to enable optical fan-out. This solution increases optical I/O density at the die level by over an order of magnitude and allows for the passive assembly via pick-and-place tools where electrical connections can be made simultaneously with a single bonding step243. The impact of such an architecture on switch package bandwidth and cost can be found in Fig. 16b alongside current scaling trends for pluggable and CPO-based systems. A variety of designs using inter-chip couplers in CPO systems have been proposed9,58,59,131,152,153,154,244,245,246,247,248,249,250,251. One such design is shown in Fig. 17a, b, where glass substrates with SiNx-to-SOI flip-chip evanescent couplers were proposed and prototyped with the goal of scaling optical I/O to >100 couplers/mm and total package data capacity to >1 Pbps153. A similar design is shown in Fig. 17c where a glass package substrate including TGVs and evanescent Si3N4-to-IOX couplers enabled optical and electrical fan-out to SMF arrays and board level solder bumps154,247,248,249. Architectures have also used inter-chip edge couplers to integrate III-V lasers on-chip and inter-chip evanescent couplers to optically fan-out from an SOI PIC to SMF arrays using a flexible polymer ribbon9,244,246. Others have used polymer waveguides on organic substrates with flip-chip evanescent couplers251 or organic interposers with embedded SOI die and free form couplers58,59,250 as shown in Fig. 17d, e, respectively. Separate proposed approaches make use of embedded glass die in organic substrates, creating photonic versions of the embedded bridges found in electronic 2.1D packaging architectures252. Layouts are also being explored for using 3D photonic and electronic packaging to connect photonic and electronic die on opposite surfaces of a glass core organic interposer, such as that in Fig. 17f131. Designs using high-performance Si interposers have been demonstrated as well, using evanescent couplers to connect thin Si3N4 interposer waveguides to thin Si3N4 PIC waveguides with simultaneous Au–Au bonding253.
In (a) and (b), flip-chip SiNx to SOI evanescent couplers with Cu μ-pillar bumps for Pbps I/O153 (©2025 Weninger et al., licensed under CC BY 4.0). In (c), IOX to SOI evanescent couplers integrated with solder bumps and TGVs for optical and electrical fan-out (reprinted with permission from ref. 249 ©2023 IEEE). In (d), an organic package substrate contains polymer waveguides connected to SiN waveguides on transceiver PICs using a flip-chip, evanescent coupler (reprinted with permission from ref. 251 ©Optica Publishing Group). In (e), embedded SOI transceivers in an organic substrate with optical fan-out using package level polymer waveguides and GSL patterned curved mirrors for optical coupling382 (©S. Suda et al., licensed under CC BY 4.0). In (f), a CPO design involving photonic and electronic die on different sides of a glass package substrate with optical connectivity using inter-chip couplers (reprinted from ref. 131, with the permission of AIP Publishing)
While these examples illustrate significant possible improvements in scaling data capacity using inter-chip optical I/O, they also demonstrate three important trends: (1) the shift towards integrated waveguides at the package level, (2) the use of optical fan-out to accommodate pluggable fiber interfaces with large footprints, and (3) the critical need for substrates, such as glass, which provide higher performance than organics while enabling panel level processing. Additionally, studies into interposer-to-board couplers are necessary to provide a similar C-SWaP improvement to that seen in microelectronics when ball grid arrays with embedded board level Cu traces were implemented28.
Biochemical sensing
The sensing of environmentally harmful biochemicals or deadly airborne diseases is a critical future application of PIC technology; however, the inability to cheaply package, reuse, or upgrade these systems has proved to be one of the challenges preventing their high volume manufacturing. In a fully integrated PIC based biochemical sensor, the light source, sensor, and detector are fabricated on the same die. The light source could be broadband with an optical filter, such as a superluminescent photodiode with a thermally tunable ring modulator. In a partially integrated sensor, the light source is often connected to the die using fiber connections with a grating coupler. The sensing element is commonly a passive resonator device such as an Mach-Zehnder interferometer (MZI) or micro-ring resonator (MRR), and the detector is integrated with the sensing and coupling element using a Ge photodetector. Considering common sources and photodetectors, especially in the mid-IR (2.5–25 μm)254, are not Si-CMOS or bipolar-CMOS (BiCMOS) foundry compatible, the fabrication of a fully integrated die followed by their quick disposal after use represents a costly cycle. Die need to be disposed of for several reasons including saturation of a functionalized die surface with bonded organic molecules (such as in refractometry)255; if a source, resonator, or detector fails; or if an upgrade is needed to detect different chemical signatures. It is also environmentally costly to regularly discard these PICs as active components contain precious metals which can then be expensive to reclaim via recycling processes256. This motivates the idea of separating the source or photodetector (or both) onto a different die from the passive sensing element, as shown for two common sensor types in Fig. 18a, b257. The connection between the source, or photodetector, and sensing element would then be made via an inter-chip coupler, allowing the passive element to be disposed of while maintaining the active components of the system. This solution and the choice of inter-chip coupler also depends on whether the sensing element is accessed via the top or the bottom, which will be described in more depth below.
In (a) and (b), a simple evanescent sensor with sequential referencing and a sensor with integrated switches to enable accurate and time efficient referencing, respectively. The breaks in each figure represent breaks where inter-chip coupling would be required if source and/or detector were not fully integrated257 (©2024 C. Mitchell et al., licensed under CC BY 4.0). c A generalized top side accessible architecture is shown, assuming an integrated photodetector (reprinted from ref. 258, ©2022 A. Mai et al. with permission from Elsevier and licensed under CC BY-NC-ND 4.0). In (d), schematics and experimental images of a fully packaged active SiPh biosensor using a top side architecture (reprinted from ref. 259, ©2018 Elsevier B.V. with permission from Elsevier B.V.). In (e), a generalized back side accessible layout, assuming integrated photodetectors and electronics on the same die (reprinted from ref. 258, ©2022 A. Mai et al. with permission from Elsevier and licensed under CC BY-NC-ND 4.0). In (f), a cross section of the PIC including an integrated MRR sensor, photodetector, and grating coupler using the local backside etching technique262 (©2020 P. Steglich et al., licensed under CC BY 4.0). In (g), a fully packaged passive silicon biosensor using a back side layout (reprinted from ref. 258, ©2022 A. Mai et al. with permission from Elsevier and licensed under CC BY-NC-ND 4.0). h, i A partial back side etching architecture is shown alongside the fully packaged active silicon chip263 (©2021 C. Adamopoulos et al., licensed under CC BY 4.0)
To better understand how inter-chip connectors can decrease cost and improve functionality, we will describe state-of-the-art packaging and improvements that can be implemented going forward. Today, the most widely used approach is a top side accessible layout such that optical and electrical I/O, and access to the photonic sensing element, are accomplished on the device side of the die which is in a “face-up” orientation as shown in Fig. 18c258. In a top side accessible layout, fiber-to-chip connections are made using grating or edge couplers while electrical connections are made using wirebonds. The access to the sensing element is accomplished by doing a selective removal of the PIC cladding in the vicinity of the MZI or MRR and bonding a microfluidic chamber to flow the analyte over the surface of the sensing element. An example showing a top side accessible layout in practice is shown in Fig. 18d where a SiPh PIC has electrical connections made through a fan-out metal RDL, and optical connections using grating couplers. Because optical fibers typically cannot be permanently bonding to biosensing PICs due to their dispensable nature and high packaging cost259, only long-distance vertical optical coupling is possible in this top side accessible architecture. Therefore, the optical signal needs to traverse the entire fluidic gasket (~6 mm), requiring a fiber focuser to couple over a vertical distance of ~17 mm which resulted in additional losses of ~5 dB259. The usefulness of inter-chip coupling in this example is clear—polymer or PECVD waveguides in optical RDL layers could be patterned with evanescent, grating, or free form couplers to provide simultaneous electrical and optical fan-out away from the PIC. This could in turn allow for a reduction in PIC coupling losses, which approached 12 dB per connection in this study259.
Aside from the top side accessible packaging scheme, multiple backside accessible architectures have been proposed as well258,260,261,262,263. In a backside accessible design, as shown in Fig. 18e, the PIC substrate is etched to expose the sensing element to the analyte from the bottom. This allows for electrical and optical I/O to be connected on the die’s top side, which is then free to be flip-chip bonded. For a prototype, take the PIC layout shown in Fig. 18f, g where passive SOI MRRs were accessed via local backside etching258,260,261,262. By using a combination of a DRIE process to remove the bulk Si and a short wet etch to remove the SiO2 BOX, an opening through the back of the die was created. Similarly, Fig. 18h, i shows an electronic-photonic IC based biochemical sensor fabricated using GlobalFoundries 45 nm SOI CMOS process. This design can be applied either for label-free biosensing or ultrasound pressure induced sensing by removing the bulk Si handle and partially etching the BOX to tailor device sensitivity. In ref. 264, it was predicted that partially etching down to a 100 nm thick BOX would enhance intrinsic sensitivity by 7 times.
From the images in Fig. 18e, f, h, it can be seen how flip chip inter-chip couplers connecting to an optoelectronic interposer would facilitate multiple advantages. First, packaging cost would be decreased as passive assembly is enabled. Second, multiple die with different functionalized surfaces could be bonded, allowing for a single package to sense several different chemicals. Finally, assembling and bonding the passive sensing element by mechanical means versus permanent UV curable epoxies provides a path forward for pluggable die-to-interposer optical connections and the possibility for easy reuse, recycling, or upgrading.
Photonic neuromorphic computing
Optical computing provides the possibility of higher signal speed with lower propagation losses and less heat generation than electrical counterparts265. Because of this, the packaging of photonic processors with electrical logic and memory ASICs can enable superior performances for artificial intelligence applications266. There are two architectures used in photonic processors dependent on the light source: coherent or multiwavelength. Multiwavelength architectures use WDM with arrays of modulators, usually micro-ring modulators (MRMs), for optical processing. This is commonly implemented in a broadcast-and-weight scheme consisting of an input channel split into M modulation lanes and M weight lanes. Each modulation and weight lane has N modulators, each of which modulates a different wavelength. This layout is shown schematically in Fig. 19a. This type of scheme only requires one input channel (i.e., one SMF) which keeps coupling losses as a low contributor to the overall loss budget. With that said, three factors could drive photonic compute towards more advanced packaging interfaces: (1) the need for increased optical integration in a multichip layout including easy access to optical memory or switches, (2) the need for heterogeneous integration of SOAs to enable higher splitting powers and (3) the large numbers of electrical I/O used for drivers and collecting output signals from photodetectors could drive photonic compute systems towards flip-chip bonding. In these scenarios, an integrated flip-chip optical connector such as an evanescent or free form coupler could provide a potentially enabling technology for further integration and scaling.
a, b Example of a photonic computing unit using a multiwavelength architecture with a broadcast-and-weight scheme265 (©2023 Luan et al., licensed under CC BY 4.0). The layout in (a) shows how WDM is used to combine wavelengths into a single input channel which then splits into M lanes, each of which contains N modulators in the modulation and weight bank arrays which then terminate in M photodetectors. The top view in (b) shows the optical and electrical fan-out using PWBs and electrical wirebonds. c A schematic of a proposed photonic computing architecture where the lasers, optical processor, optical switch, and SOA are connected using polymer interposer waveguides and TPP printed micro reflectors142 (©2024 H. Huang, licensed under CC BY 4.0)
As an example, take the photonic compute unit fabricated in ref. 265 which, instead of using one input channel with a splitter, uses 8 individual input channels without a splitter. Each input channel contains 9 operational wavelengths, demonstrating how an intensity modulated MRM based photonic tensor core could be used to decode a 28 × 28 pixel image. Each input channel contained 9 all-pass MRMs to form the modulation bank and 9 add-drop MRMs to form the weight bank. Each input channel also used a PWB to couple to a SMF, with each output channel terminating in a photodetector. The packaged processor is shown in Fig. 19b demonstrating the simultaneous electrical and photonic wirebonds present. The measured insertion loss due to the PWB interface was 7.5 dB/channel which was attributed to the etching process for the edge facet. For this prototype, which contains low connection volumes, photonic and electrical wirebonding provide simple, low cost solutions.
In terms of continued scaling, calculations for a theoretical upper limit show 578 operational wavelengths could be implemented with minimal crosstalk. A 512 × 512 (NxM) system was simulated to have a total loss budget of 60 dB assuming the fiber-to-chip connection contributed only 1.6 dB of loss. Having an array terminate in 512 photodetectors requires a higher level of electrical fan-out to connect to components in the package, a function flip chip assembly can enable. Moreover, a significant contributor to the loss budget was the decrease in optical power due to the splitter dividing power among 512 channels. Heterogeneous integration of an SOA in a photonic compute unit has been demonstrated using PWBs to address the power consumption challenge267. Further package level hybrid integration for photonic computing has been proposed using free form inter-chip couplers142. An example of such a package level layout is shown in Fig. 19c where interposer level polymer waveguides provide an optical RDL and are connected to lasers, modulators, switches, SOAs, and an optical processing unit using TPP based lenses142. Going forward, the limited compatibility of PWB technology with flip chip assembly indicates a different inter-chip connector may be needed. A high density inter-chip connector such as an evanescent or edge coupler could provide a path forward for cost-effective PIC assembly, SOA integration, and increased electrical fan-out, further highlighting the relevance of such couplers for future photonic compute systems.
Optically connected memory
To increase the performance of DRAM stacks, two critical factors limiting the number of DRAM layers need to be addressed: thermal management and process node fabrication technology268,269. The first of these limitations, the thermal budget, dictates the thickness of the entire DRAM stack, while the process node used to fabricate each DRAM die controls the ability to make thinner die with higher channel counts. This is assuming the lateral or horizontal size of the DRAM die is fixed to avoid interconnect delay times. In terms of technology node, the 2021 Heterogeneous Integration Roadmap indicates that current stacking involves 50-μm-thick memory die, with thicknesses below 10 μm being investigated with bumpless wafer-on-wafer bonding and die thicknesses in the single micron range using advanced wafer thinning techniques22. As for thermal management, advancements in cooling technology including co-optimization of floorplanning270, vacuum or air gaps with chip-to-chip communication271, and a variety of microfluidic cooling designs272,273 demonstrate that 3D stacking of ultrathin DRAM die remains an optimistic approach moving forward.
Photonic via technology allows for optical connectivity between vertically stacked DRAM packages268. One such architecture is represented by Fig. 20a, b where electrical DRAM die are stacked with a Si photonic layer between every n DRAM die268. The Si photonic layer contains transceiver circuitry necessary to convert or encode the request for distribution to a DRAM die or back to the logical processing layer. Critically, each Si photonic layer is connected using a photonic via, specifically the wet etched 45° TIR mirror whose performance is outlined in Table 9. Such a design reduces the number of vertical through-die channels using bandwidth-dense optical links, thus minimizing the unused area in typical DRAM die, the area consumed by memory controllers, and the electrical power loss associated with longer distances268.
a, b Perspective and cross-sectional images showing photonic vias in silicon (along with evanescent intra-layer couplers and ULI fiber to Si3N4 couplers) implemented to accomplish 3D stacking of SiPh-FGDRAM dies with connections to a substrate level SiPh logic layer (reprinted with permission from ref. 193 ©2020 IEEE). In these images, the photodetector and modulator are located on the SiPh-FGDRAM die
Similarly, 3D optically connected, stacked memory designs have been proposed where Si photonic fine-grain dynamic random access memory (SiPh-FGDRAM) die were stacked atop a Si photonic processor die193. Each SiPh-FGDRAM die contained integrated photodetectors and modulator devices with each die being optically connected using a 45° TIR mirror photonic via. By replacing electrical TSVs with photonic vias, latency and energy consumption were calculated to decrease by two fold while simultaneously decreasing footprint and improving data capacity through WDM193.
Integrated quantum photonics
Integrated quantum photonics systems enable a variety of different capabilities including secure communication274 via quantum key distribution (QKD)275 or entanglement-distribution276, quantum photonic information processing277, and quantum physical and chemical simulators278,279. To build such devices, single photon sources, active reconfigurable devices, photon storage, low loss passive devices, wavelength converters, and single photon detectors280 need to be integrated or packaged together. One challenge is that each of these components could have its own material platform that suits it best. For example, a GaAs embedded quantum dot (QD) or diamond color center source may need to be interfaced with an SOI, Si3N4, or LiNbO3 modulator, followed by a niobium nitride (NbN) superconducting nanowire single photon detector (SNSPD)281 for optimal performance. Another roadblock is that different operating conditions may be necessary for different components, such as cryogenic temperatures of 4 K or below for single photon sources or SNSPDs282. While integration of SNSPDs with LiNbO3 Mach-Zehnder modulators (MZMs) and Si3N4 MEMS devices have been demonstrated at such temperatures283,284, the efficiency of the reconfigurable devices has also been shown to degrade in this thermal regime. This includes the Si3N4 or Si modulators reliant upon thermo-optic or free carrier plasma dispersion effects at room temperature285,286. Thus, within given quantum photonic package, components requiring cryogenic operating conditions may need to be connected via fiber or optical wirebond to components operating at room temperature. Such a configuration would also be useful for connecting atomic or ionic quantum devices for the formation of a modern quantum internet287.
Inter-chip connectors introduce a solution to several of these packaging challenges such as the integration of different material platforms. For example, GaAs waveguides with embedded InAs QDs have been coupled with Si3N4 waveguides using a tungsten probe to pick and place the GaAs, as shown in Fig. 21a, b288,289. Submicron alignment was achieved with a triangular locking structures where the GaAS was cladded with SiO2 to secure it in position. Such a coupling concept was similarly used to connect InAs QDs embedded in InP waveguides to LiNbO3 waveguides using pick-and-place technology in ref. 290. Intra-chip evanescent couplers have also been used for such integration, such as in Fig. 21b where a GaAs layer was wafer bonded to the Si3N4 layer and patterned to form the evanescent coupler regions. These intra-chip evanescent couplers were also used to integrate GaAs MRRs which act as single photon sources whose QD radiative rate could be more precisely controlled. Similar devices and processes have also been used for integration of Si3N4 devices for four-wave-mixing-based wavelength conversion of single-photon lasers291.
In (a), an evanescent coupler is used to connect a pick-and-place GaAs quantum emitter to ultra low loss Si3N4 passive circuitry288 (©2022 Chanana et al., licensed under CC BY 4.0). In (b), an evanescent coupler (right) is used to connect wafer bonded GaAs emitters and GaAs microring resonators (left) to Si3N4 passive circuitry289 (©2017 Davanco et al., licensed under CC BY 4.0). In (c), a reconfigurable Si3N4 circuit using MEMS devices is evanescently coupled to a NbTiN SNSPD283 (©2021 Gyger et al., licensed under CC BY 4.0). In (d), a cross sectional simulation (top) shows the material stack and coupling from LiNbO3 waveguides to NbTiN SNSPD and false colored SEM images show the integrated detector near Au pads (left) and a waveguide bend (right)284 (©2021 Lomonte et al., licensed under CC BY 4.0). In (e), a proposed hybrid integrated quantum photonic processor architecture in which QDs, LNOI modulators, Si3N4 passives, and SNSPDs are optically connected292 (reproduced with permission from SNCSC)
Moreover, inter-chip couplers have also been able to integrate SNSPDs with reconfigurable devices at cryogenic temperatures, paving the way for feasible quantum state preparation and quantum logic at scale. In Fig. 21c, such an example is shown, where a niobium titanium nitride (NbTiN) SNSPD was integrated with a Si3N4 circuit containing MEMS cantilevers. The cantilever was used to modulate the incoming single-photon signal by changing the modal overlap as the cantilever arm changes position, and was demonstrated to operate at <100 mK283. Meanwhile, Fig. 21d shows a LiNbO3-on-insulator (LNOI) MZM integrated with a NbTiN SNSPD using evanescent coupling. Like the Si3N4 system, the LNOI system demonstrated bias-drift-free operation for over 12 h and high speed modulation for up to 1 GHz frequency while operating at temperature of around 1.3 K284.
At the system level, examples exist where the packaging of single photon sources, reconfigurable devices, low loss passive circuitry, and SNSPDs together is underway292. Such a system is shown in Fig. 21e, consisting of QDs coupled to LNOI reconfigurable devices, after which the signal is routed using optical fibers to low loss Si3N4 optical circuitry with integrated NbN SNSPDs. Inter-chip couplers are ideally suited for reducing packaging cost and improve system performance in this type of multi-PIC package. Specifically, the assembly cost and optical performance could be improved by integrating single photon sources and SNSPDs at the die level, and then flip-chip or photonic wirebonding the LNOI and Si3N4 PICs at the package level on an Si or glass substrate. The use of Si or glass interposers with inter-chip couplers in quantum photonic packaging is already underway293,294. For instance, glass interposers were used to connect a thick Si3N4 layer used for bright dissipative Kerr soliton generation with a thin Si3N4 layer used for passive circuitry293. While such an architecture would allow system designers flexibility in terms of die versus package level integration, further study is necessary to quantitatively assess the system level gains which can be achieved by their implementation.
Heterogeneous integration of components for compact LiDAR systems
With the advent of autonomous vehicles and robotics, LiDAR has become an important application for PIC die. In essence, LiDAR requires the following building blocks: a laser source, an aperture to serve as an emitter and an aperture to serve as a receiver, and photodetectors to convert the reflected optical signal to an electrical signal for read out. In practice, additional components are necessary depending on what type of LiDAR is used. Two predominant LiDAR strategies involve frequency-modulated continuous wave (FMCW) LiDAR, a coherent form of LiDAR capable of simultaneously measuring distance and velocity, or time-of-flight (ToF) LiDAR, capable of measuring only distance but with a simpler overall design295. Both FMCW and ToF systems typically require optical amplifiers, such as Erbium doped fiber amplifiers (EDFAs), which can increase optical signal strength to >100 mW296,297,298. This additional power is also needed to overcome losses incurred by fiber-to-chip coupling and on-chip propagation299. Other needed components include electrical ICs for driving of active photonic devices, for readout, and for feedback control loops298.
Several of these functionalities can be miniaturized and improved by using on-chip Si photonic or III-V devices. This includes using an array of thermo-optic phase modulators and grating couplers as emitters in optical phased arrays (OPAs)297,300,301,302,303,304,305,306, Erbium doped Si3N4 waveguides298 or SOAs299 for amplification, and external cavity hybrid integrated lasers298 or monolithically integrated Erbium-lasers307 as optical sources. The current method of connecting separate components within these systems is with optical fibers, and thus they must contend with their packaging inefficiencies. The compactness of LiDAR systems is limited by fiber connections between components and by current architectures being limited to 2D layouts with large arrays of on-chip devices for unimpeded accessibility to the aperture. Currently, the emitters and receivers are also limited to either surface gratings (for HIC systems) or edge emitters (for LIC systems). Thus, flip-chip or photonic via devices would enable 3D architectures with a larger total emitter area, low loss integration of coherent sources, and emitter design flexibility through novel out-of-plane couplers.
There are several LiDAR examples where these types of couplers were used to integrate different material platforms. Edge couplers have been used for hybrid integration, as shown in Fig. 22a–c, and evanescent couplers have been used for monolithic integration of polymer-based phase modulators in an edge emitting PIC based ToF LiDAR setup308,309. Evanescent couplers were also used for integrating SOI-based thermo-optic phase shifters with Si3N4 passive circuitry to take advantage of SOI’s relatively high thermo-optic coefficient (1.86 × 10−4, ref. 310)311. The use of the polymer-based materials for phase shifting allowed for higher thermo-optic coefficient (−6 × 10−5 for the polyimide core312 versus 2.45 × 10−5 K−1 in Si3N4)310 with high confinement in Si3N4 which does not suffer from the same two-photon absorption-related issues at high optical powers (βTPA ≈ 9 × 10−12 m/W for SOI versus 0 m/W for Si3N4)310. Similarly, edge couplers have been used to connect a III-V reflective SOA die to a Si3N4 die containing a Vernier ring as shown schematically in Fig. 22d and experimentally in Fig. 22e, thus creating a coherent external hybrid cavity laser298. The output from the Si3N4 die was then fiber connected to a separate Er doped Si3N4 waveguide chip which provided amplification prior to a free space emitter. Further iterations could be improved by using flip-chip assembly of the external cavity laser with the Er doped Si3N4 waveguide chip and/or a SiPh OPA die in place of the free space emitter.
In (a), a diagram of a LiDAR system built by assembly of separate Si3N4 splitter and emitter die with a polymer thermo-optic phase shifter die using edge coupling, with the final packaged system shown in (b) and the transformation of the optical mode through the SiN to polymer edge coupler shown in (c) (reprinted with permission from ref. 308 ©2021 IEEE). In (d), a schematic showing a coherent LiDAR engine formed by edge coupling of a III-V reflective SOA die to a Si3N4 die with a Vernier cavity, with (e) showing the fully packaged structure298 (©2024 Lukashchuk et al., licensed under CC BY 4.0). In (f–h), schematics and a top-down microscope image showing MEMS based evanescent waveguide-to-waveguide couplers used as switches in an OPA unit3 (©2022 X. Zhang et al., licensed under CC BY 4.0)
As mentioned above, inter-chip couplers and photonic vias also offer 3D OPA architectures. Today, the thermo-optic modulator and the grating coupler arrays are side by side in a 2D layout because the emitter section needs to be accessible. One solution is to design the LiDAR package such that the emitter layer is facing up while the active devices are underneath it. This design allows for electrical and optical connections to be located lower in the stack, with the connection between the two layers enabled through electrical and optical vias. By doing this, OPA element pitches <1 μm can be achieved198. A prototype of such a design has been demonstrated, where input light was split into 120 channels containing thermal phase modulators and 2-μm pitch gratings198. The splitting and modulation occurred in a separate layer from the grating emitters and the two layers were connected using the offset 45° TIR mirrors. The densely packed OPA design realized emitting areas with very large fill factors (~95%) while reducing die footprint through the 3D layout. The prototype was one aspect of a unit cell which included an ASIC underneath the emitting and spitting/modulation layers to control the modulators193. This unit cell can then be bonded to an interposer, with electrical coupling done using solder arrays and optical coupling done using 3D ULI waveguides.
While the discussion thus far has been focused on OPA based LiDAR, waveguide-to-waveguide couplers have also been used to enable focal plane switch array (FPSA) LiDAR as well3. Unlike OPA based systems which require precise phase and amplitude control for each emitter, FPSA systems only require an array of emitters, each with a switch mechanism, and a single lens covering all the emitters to enable beam steering. Waveguide couplers with high efficiency, but relatively low alignment tolerance, make excellent switches which can reduce the C-SWaP and increase the pixel count to enable higher performance. For example, evanescent couplers with MEMS devices have been implemented as switches in compact FPSAs, as shown in Fig. 22f–h3. Using these switches, a LiDAR system with 16,384 pixels (32 times larger than previous records) was demonstrated with a wide field of view (FoV, 70° × 70°), a fine addressing resolution (0. 6° × 0. 6°), a narrow beam divergence (0.050° × 0.049°) and a random-access beam addressing with sub-MHz operation speed3. Though further experiments are necessary to bring the described technologies to industrial LiDAR systems, they highlight the potential of photonic couplers to improve C-SWaP by enabling functions such as coherent source integration, micron scale OPA emitter pitches, and order of magnitude larger FPSA pixel counts.
Conclusion
In retrospect, this paper discussed the inter-chip and intra-chip coupling of light using edge, grating, evanescent, free form, and cantilever couplers along with optical wirebonding technology. Methods for coupling of light through PICs using guided mode or free form vias was discussed in the context of different substrate materials including silicon, glass, and organics. The current and future use of these couplers in relevant applications including datacenter switch packages, biochemical sensors, optically connected memories, optical computing, integrated quantum photonics, and LiDAR systems was discussed. There are a few takeaways from the presented data which demonstrate the critical role these technologies will play in integrated photonic systems.
First, the inter-chip or flip chip optical couplers discussed here enable the elimination of fiber-to-chip interfaces and the manufacturing challenges and inefficiencies associated with those interfaces. This is important in two ways: connection density (i.e., total bandwidth) and cost. In terms of connection density, the inter-chip optical couplers break through the 8 connections per mm barrier imposed by the standard 125 μm cladding of SMF arrays, allowing for connection densities greater than 100 connections per mm—over an order of magnitude increase. The ability to scale the number of connections to the photonic package in parallel provides another vector for increasing the total package bandwidth along with the scaling of wavelengths per channel, polarizations per channel, bit rate per wavelength, and optical modes per channel. The other effect is on the cost of manufacturing photonic packages—inserting an inter-chip optical coupler in place of a fiber-to-chip interface allows for passive using automated pick-and-place tools instead of active alignment and assembly, which can increase throughput and decrease cost.
A second takeaway is understanding the role each inter-chip coupling type may play in the hierarchies of future photonic systems, as each type has substantial tradeoffs evidenced by Fig. 6. For material integration, chip-to-chip, chip-to-RDL, or even chip-to-interposer connections, where the connection density will need to be the highest and the electrical bumps are thinnest, evanescent couplers offer an optimized solution owing to their tight pitches (<10 μm), wide wavelength window (>100 nm), low coupling loss (<1 dB), and location away from the edge facet for simpler packaging and assembly. With designs using multisegmented tapers which increase alignment tolerance to greater than 1–2 μm such that passive assembly can be used, the remaining downside is in the taper length and need for BEOL customization. Because the length is needed in part to achieve a wider alignment tolerance, the length problem will be resolved as pick-and-place tools continue to improve alignment accuracy, such that the taper length can be decreased down to the shortest length required for adiabatic coupling (typically <100 μm for Si based devices). The BEOL customization can also be overcome by using multiple layers, for example SiN for SiPh die, such that the transfer through the BEOL layers happens over several transitions. In light of this, evanescent couplers can be seen as the photonic analogy to direct Cu–Cu bonding in electrical packaging. In fact, when combined with hybrid bonding, the evanescent coupler offers the highest electronic-photonic connection density possible.
For interposer-to-substrate or substrate-to-board connectivity, free form couplers are an excellent fit—the wide allowable vertical coupling gaps (20 μm to >700 μm) allows for simultaneous integration of electrical μm-bumps while maintaining broadband, alignment tolerant operation with tighter pitches than SMF arrays. The aspect to be addressed with free form couplers is in their fabrication—they often require serial fabrication techniques which incorporated new materials or processes compared to standard CMOS process flows. Since the three primary processes used are dicing, TPP, and GSL, there is the need to parallelize these processes by continuing to develop hot embossing313 or NIL314, the latter of which has already been used to create 3D photonic devices137 and is in consideration for use in high volume semiconductor manufacturing315. Once the signal is on the package substrate or board, similar free form couplers can be implemented for pluggable fiber-to-board connectors316,317,318,319, allowable for effective optical fan-out in an identical way to electrical fan-out.
As described above, not all applications require significant connection volumes, but these applications still require low cost optical interfaces. It is for these applications that optical wirebonding techniques are likely to play the biggest role due to their versatility in overcoming highly custom packaging requirements. Of the technologies presented, PWBs and 3D ULI will likely become the most dominant. While PWBs are already offered commercially with automated design capabilities in the manufacturing flow320, 3D ULI represents a substrate agnostic method for creating fully embedded connections in glass based materials. What is required for both is not necessarily a method for parallelizing fabrication (albeit useful), it is to decrease the write time for such connections to be in line with those of automated (or even manual) electrical wirebonding equipment (<0.1 seconds per connection). Current techniques are roughly 2–3 orders of magnitude away from this marker, preventing their ubiquitous use due to high costs per connection. With that said, although the processes themselves are new to CMOS process flows, PWBs and 3D ULI have been fabricated on foundry made die without altering FEOL processes or raising temperatures above BEOL or FEOL thermal budgets—an excellent start towards demonstrating full foundry compatibility.
The third takeaway from this review is that photonic vias remain at the research and development scale and represent a largely unexplored space, but one which will may prove critical to photonic integration at the die, package, and board level. At the package and board level, photonic vias will need to play a role similar to the cores in organic package substrates or TGVs and TSVs in glass or silicon interposers, respectively, which work in tandem with solder and Cu pillar bumps to allow for electrical signals to vertically fan-out to the board. In other words, photonic vias will likely have to work together with inter-chip optical couplers to achieve full system level photonic integration. The vias used at this scale would likely be free form vias with transparent substrates (glass) or through hole etched organic materials. At the chip scale, photonic vias could allow for access to the FEOL waveguide layer without having access to the top or BEOL layers on the die, such as in biochemical sensing or LiDAR applications. Perhaps more importantly, compact guided mode photonic vias may present the opportunity to obtain optically connected electronic devices all the way down to the device level. Here, it was presented that this could be useful for optically connected memory between stacked DRAM die. And yet, another speculative use case would be using less than 5-μm-thick photonic vias to achieve attajoule scale (10−18 J/bit) optical connectivity by connecting Ge based photodetectors at the transistor scale in a monolithic integration scheme as suggested by Miller321.
Thus, overall given the immense research in 3D photonic packaging, we conclude inter-chip couplers (i.e., optical bumps) and intra-chip couplers (i.e., photonic vias) have a similar potential to scale cost and performance in PIC packaging as the advent of the electrical solder bump or electrical via had in electronics packaging.
Data availability
All data are available from the corresponding authors upon reasonable request.
References
Shekhar, S. et al. Roadmapping the next generation of silicon photonics. Nat. Commun. 15, 751 (2024).
Iqbal, M. et al. Label-free biosensor arrays based on silicon ring resonators and high-speed optical scanning instrumentation. IEEE J. Sel. Top. Quantum Electron. 16, 654–661 (2010).
Zhang, X., Kwon, K., Henriksson, J., Luo, J. & Wu, M. C. A large-scale microelectromechanical-systems-based silicon photonics lidar. Nature 603, 253–258 (2022).
Seok, T. J., Kwon, K., Henriksson, J., Luo, J. & Wu, M. C. Wafer-scale silicon photonic switches beyond die size limit. Optica 6, 490–494 (2019).
Shastri, B. J. et al. Photonics for artificial intelligence and neuromorphic computing. Nat. Photonics 15, 102–114 (2021).
Corsetti, S. et al. Silicon-photonics-enabled chip-based 3d printer. Light Sci. Appl. 13, 132 (2024).
Ranno, L. et al. Integrated photonics packaging: challenges and opportunities. ACS Photonics 9, 3467–3485 (2022).
Ogura, I., Kurata, K. & Pitwon, R. Silicon photonics econominc feasibility and relative cost analysis for automotive applications. In IEEE 802.3z Multigigabit Optical Automotive Ethernet Interim Task Force (2021).
Barwicz, T. et al. A novel approach to photonic packaging leveraging existing high-throughput microelectronic facilities. IEEE J. Sel. Top. Quantum Electron. 22, 455–466 (2016).
Kopp, C. et al. Silicon photonic circuits: On-CMOS integration, fiber optical coupling, and packaging. IEEE J. Sel. Top. Quantum Electron. 17, 498–509 (2011).
Mazari, R. & Pereira, G. High-end smartphone soc packaging comparison - advanced pop technology from standard, fan-out to flip-chip assembly. In IMAPSource Proceedings 118–139 (2024).
Fuchs, E. R. H., Bruce, E. J., Ram, R. J. & Kirchain, R. E. Process-based cost modeling of photonics manufacture: the cost competitiveness of monolithic integration of a 1550-nm DFB laser and an electroabsorptive modulator on an InP platform. J. Lightwave Technol. 24, 3175–3186 (2006).
Lujan, A. P. Cost Comparison of FO-WLP with Other Technologies, Ch. 2, 47–67 (John Wiley & Sons, Ltd, 2022).
Khan, S. M. & Mann, A. AI Chips: What They Are and Why They Matter. Tech. Rep., Center for Security and Emerging Technology (2020).
Kimerling, L., Baets, R., Jacob, A. & Rahim, A. Integrated Photonic Systems Roadmap-International (IPSR-I) 2024: Silicon Photonics. https://photonicsmanufacturing.org/2023-ipsr-i-integrated-photonic-systems-roadmap/ (2024).
Wale, M. et al. Integrated Photonic Systems Roadmap-International (IPSR-I) 2024: INP and III-V Compounds. https://photonicsmanufacturing.org/2023-ipsr-i-integrated-photonic-systems-roadmap/ (2024).
Harman, G. G. Wire Bonding in Microelectronics Materials, Processes, Reliability and Yield 3rd edn (McGraw-Hill Education, 2010).
Bardeen, J. & Brattain, W. H. The transistor, a semi-conductor triode. Phys. Rev. 74, 230–231 (1948).
Anderson, O. L., Christensen, H. & Andreatch, P. Technique for connecting electrical leads to semiconductors. J. Appl. Phys. 28, 923–923 (1957).
Massa, S., Shahin, D., Wathuthanthri, I., Drechsler, A. & Basantkumar, R. Process development for flip chip bonding with different bump compositions. In 2019 International Wafer Level Packaging Conference (IWLPC), 1–6 (2019).
Qin, I. Wire Bonding Process, 2nd edn, 205–208 (McGraw-Hill Education, 2018).
Chen, W. et al. Heterogeneous Integration Roadmap 2021: Single Chip and Multi-chip Integration (2021).
Yu, S. Y. et al. Two-photon lithography for integrated photonic packaging. Light Adv. Manuf. 4, 486 (2023).
Fischer, A. C. et al. Unconventional applications of wire bonding create opportunities for microsystem integration. J. Micromech. Microeng. 23, 083001 (2013).
Wang, F. & Fan, D. Modeling and experimental study of a wire clamp for wire bonding. J. Electron. Packaging 137, 011012 (2015).
Pugh, E., Johnson, L. & Palmer, J. A Circuit Technology Gamble (MIT Press, 1991).
Davis, E. M., Harding, W. E., Schwartz, R. S. & Corning, J. J. Solid logic technology: versatile, high-performance microelectronics. IBM J. Res. Dev. 8, 102–114 (1964).
Miller, L. F. Controlled collapse reflow chip joining. IBM J. Res. Dev. 13, 239–250 (1969).
Lau, J. H. Flip Chip, Hybrid Bonding, Fan-In, and Fan-Out Technology, 1st edn, 1–501 (Springer Singapore, 2024).
Dasgupta, A. et al. Manufacturing Roadmap for Heterogeneous Integration and Electronics Packaging (MRHIEP): Advanced Packaging & Heterogeneous Integration. https://www.semi.org/sites/semi.org/files/2024-02/MRHIEP-Final-report-for-publication.pdf (2024).
Lee, C. Y. et al. 3d integrated process and hybrid bonding of high bandwidth memory (HBM). Electron. Mater. Lett. 21, 395–419 (2025).
Kagawa, Y. et al. An advanced CuCu hybrid bonding for novel stacked CMOS image sensor. In 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), 65–67 (2018).
Chen, M.-F., Chen, F.-C., Chiou, W.-C. & Yu, D. C. System on integrated chips (soic(tm) for 3d heterogeneous integration. In 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), 594–599 (2019).
Colosimo, T. et al. High productivity thermo-compression flip chip bonding. Int. Symp. Microelectron. 2014, 000100–000106 (2014).
Lau, J. H. Hybrid Bonding, 379–411 (Springer Singapore, 2021).
Burggraf, J., Uhrmann, T. & Pires, M. Collective die bonding: an enabling toolkit for heterogeneous integration. ECS Trans. 98, 173 (2020).
Sigl, A., Pargfrieder, S., Matthias, T., Wimplinger, M. & Kettner, P. Throughput enhanced flip-chip to wafer bonding: the advanced chip to wafer bonding. ECS Trans. 18, 721 (2009).
BE Semiconductor Industries NV. 2100 hsix: The next Generation High Speed Die Bonder. https://www.besi.com/fileadmin/data/Products/esec_2100_hs_ix/Brochure_Esec__2100_hSix_00.pdf (2025).
Abdilla, J., Kainz, M., Pressl, B., Fraubaum, M. & Scanlan, C. Next generation of thermo-compression bonding equipment. In 2024 IEEE 26th Electronics Packaging Technology Conference (EPTC), 568–574 (2024).
BE Semiconductor Industries NV. 9800 tcnext: Next-gen Die Attach for Chiplet & Interposer Packages. https://www.besi.com/fileadmin/data/Products/9800_TC_next/9800_TC_next.pdf (2025).
BE Semiconductor Industries NV. Datacon 8800 CHAMEOultra plus AC. https://www.besi.com/products-technology/product-details/product/datacon-8800-chameo-ultra-plus-ac/#tabs-1063 (2025).
SUSS MicroTec SE. SUSS XBC300 GEN2 D2W/W2W: Unique Hybrid Bonding Allrounder - Combined W2W and D2W platform. https://res.cloudinary.com/demhlsnej/image/upload/v1746533053/SUSS_XBC_300_Gen2_D2_W_W2_W_58fe497bad.pdf. (2025).
Chew, S.-A. et al. The challenges and solutions of Cu/SiCN wafer-to-wafer hybrid bonding scaling down to 400 nm pitch. In 2023 International Electron Devices Meeting (IEDM), 1–4 (2023).
Selhofer, H., Mayr, A. & Pristauz, H. Large panel size bonder with high performance and high accuracy. In 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), 1492–1497 (2019).
Kennes, K. et al. Multi-tier die stacking through collective die-to-wafer hybrid bonding. In 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), 637–642 (2024).
Milosevic, M. M. et al. Industrial photonics packaging for high volume applications. In Optical Interconnects XXIV, Vol. 12892, 128920M (SPIE, 2024).
Kolloor, S. & Balamurugan, S. In Automatic Inspection and Novel Instrumentation, Vol. 3185 (eds Ho, A. T. S., Rao, S. & Cheng, L. M.) 2–10 (SPIE, 1997).
Zia, N. et al. Hybrid silicon photonics DBR laser based on flip-chip integration of GaSb amplifiers and μm-scale SOI waveguides. Opt. Express 30, 24995–25005 (2022).
Roelkens, G. et al. Present and future of micro-transfer printing for heterogeneous photonic integrated circuits. APL Photonics 9, 010901 (2024).
He, M. et al. High-performance hybrid silicon and lithium niobate Mach-Zehnder modulators for 100 Gbit/s−1 and beyond. Nat. Photonics 13, 359–364 (2019).
Aihara, T. et al. Heterogeneously integrated widely tunable laser using lattice filter and ring resonator on Si photonics platform. Opt. Express 30, 15820–15829 (2022).
Liu, A. Y. et al. Electrically pumped continuous-wave 1.3 μm quantum-dot lasers epitaxially grown on on-axis (001) GaP/Si. Opt. Lett. 42, 338–341 (2017).
Hu, Y. et al. III/V-on-Si MQW lasers by using a novel photonic integration method of regrowth on a bonding template. Light Sci. Appl. 8, 93 (2019).
Finetech GmbH & Co. KG. Fineplacer FEMTO 2: Unrivaled Flexibility for Prototyping and Production. https://finetechusa.com/products/fineplacer-femto-2/ (2024).
MYCRONIC. MRSI-S-HVM High Speed, Flexible, 0.5-micron Flip-chip Die Bonder for High Volume Manufacturing. https://mrsisystems.com/mrsi-s-hvm/ (2023).
Xu, P. et al. Collective die-to-wafer bonding enabling low-loss evanescent coupling for optically interconnected system-on-wafer. In 2024 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2024).
Wakeel, S. et al. Micro-transfer printing of thick optical components using a tether-free UV-curable approach. J. Opt. Microsyst. 4, 011003 (2023).
Nakamura, F., Suzuki, K., Noriki, A. & Amano, T. Micromirror fabrication for co-packaged optics using 3d nanoimprint technology. J. Vac. Sci. Technol. B 40, 063203 (2022).
Noriki, A. et al. Characterization of optical redistribution loss developed for co-packaged optics. IEEE Photonics Technol. Lett. 34, 899–902 (2022).
Noriki, A. et al. Mirror-based broadband silicon-photonics vertical I/O with coupling efficiency enhancement for standard single-mode fiber. J. Lightwave Technol. 38, 3147–3155 (2020).
Noriki, A. et al. 45-degree curved micro-mirror for vertical optical I/O of silicon photonics chip. Opt. Express 27, 19749–19757 (2019).
Noriki, A. et al. Demonstration of optical re-distribution on silicon photonics die using polymer waveguide and micro mirrors. In 2020 European Conference on Optical Communications (ECOC), 1–4 (2020).
Ocier, C. R. et al. Direct laser writing of volumetric gradient index lenses and waveguides. Light Sci. Appl. 9, 196 (2020).
Littlefield, A. J. et al. Low loss fiber-coupled volumetric interconnects fabricated via direct laser writing. Optica 11, 995–1007 (2024).
Pollock, C. R. & Lipson, M. Coupling between Optical Sources and Waveguides, 271–299 (Springer US, 2003).
Laidler, D. & Gallatin, G. M. Alignment and Overlay, 247–292 (CRC Press, 2020).
Yap, K. P. et al. Fabrication of lithographically defined optical coupling facets for silicon-on-insulator waveguides by inductively coupled plasma etching. J. Vac. Sci. Technol. A 24, 812–816 (2006).
Wang, J. et al. Low-loss and misalignment-tolerant fiber-to-chip edge coupler based on double-tip inverse tapers. In Optical Fiber Communication Conference, M2I.6 (Optica Publishing Group, 2016).
Tu, X. et al. Low polarization-dependent-loss silicon photonic trident edge coupler fabricated by 248 nm optical lithography. In Asia Communications and Photonics Conference 2015, AS4B.3 (Optica Publishing Group, 2015).
Kohli, N., Menard, M. & Ye, W. Efficient te/tm spot-size converter for broadband coupling to single mode fibers. OSA Continuum 2 (2019).
Sisto, M. M., Fisette, B., Paultre, J.-E., Paquet, A. & Desroches, Y. In Silicon Photonics XI, Vol. 9752 (eds Reed, G. T. & Knights, A. P.) 975217 (SPIE, 2016).
Kruse, K. & Middlebrook, C. T. Polymer taper bridge for silicon waveguide to single mode waveguide coupling. Opt. Commun. 362, 87–95 (2016).
Takei, R. et al. Silicon knife-edge taper waveguide for ultralow-loss spot-size converter fabricated by photolithography. Appl. Phys. Lett. 102, 101108 (2013).
Galán, J. V., Sanchis, P., Sánchez, G. & Martí, J. Polarization insensitive low-loss coupling technique between SOI waveguides and high mode field diameter single-mode fibers. Opt. Express 15, 7058–7065 (2007).
Marinins, A. et al. Wafer-scale hybrid integration of InP DFB lasers on Si photonics by flip-chip bonding with sub-300 nm alignment precision. IEEE J. Sel. Top. Quantum Electron. 29, 1–11 (2023).
Barwicz, T., Kamlapurkar, S., Martin, Y., Bruce, R. L. & Engelmann, S. A silicon metamaterial chip-to-chip coupler for photonic flip-chip applications. In 2017 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2017).
Nah, J. et al. Flip chip assembly with sub-micron 3d re-alignment via solder surface tension. In 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), 35–40 (2015).
Matsumoto, T. et al. Hybrid-integration of SOA on silicon photonics platform based on flip-chip bonding. J. Lightwave Technol. 37, 307–313 (2019).
Romero-García, S., Marzban, B., Merget, F., Shen, B. & Witzens, J. Edge couplers with relaxed alignment tolerance for pick-and-place hybrid integration of III-V lasers with soi waveguides. IEEE J. Sel. Top. Quantum Electron. 20, 369–379 (2014).
Ahmed, T. et al. In Optical System Alignment, Tolerancing, and Verification VII, Vol. 8844 (eds Sasián, J. & Youngworth, R. N.) 88440C (SPIE, 2013).
Ahmed, T. et al. In CLEO: 2014, JTu4A.56 (Optica Publishing Group, 2014).
Ahmed, T. et al. Mid-infrared waveguide array inter-chip coupling using optical quilt packaging. IEEE Photonics Technol. Lett. 29, 755–758 (2017).
Ahmed, T. Optical Quilt Packaging: A New Chip to Chip Optical Coupling and Alignment Technique. Ph.D. thesis, University of Notre Dame (2017).
Sia, J. X. B. et al. Compact, hybrid III-V/silicon vernier laser diode operating from 1955-1992 nm. IEEE Photonics J. 13, 1–5 (2021).
Sia, J. X. B. et al. Compact silicon photonic hybrid ring external cavity (SHREC)/InGaSb-AlGaAsSb wavelength-tunable laser diode operating from 1881-19477D5nm. Opt. Express 28, 5134–5146 (2020).
Mitchell, C. J. et al. In Silicon Photonics XVIII, Vol. 12426 (eds Reed, G. T. & Knights, A. P.) 1242608 (SPIE, 2023).
Tuorila, H. et al. Micro-transfer printing of GaSb optoelectronics chips for mid-infrared silicon photonics integrated circuits. Adv. Mater. Technol. 10, 2401791 (2025).
Brooks, C. J., Knights, A. P. & Jessop, P. E. Vertically-integrated multimode interferometer coupler for 3d photonic circuits in SOI. Opt. Express 19, 2916–2921 (2011).
Nuck, M. et al. Low-loss vertical MMI coupler for 3D photonic integration. In 2018 European Conference on Optical Communication (ECOC), 1–3 (2018).
Weigel, M. et al. 3D Photonic Integration: Cascaded 1x1 3D Multi-mode Interference Couplers for Vertical Multi-layer Connections. https://www.ecio-conference.org/wp-content/uploads/2020/06/11-Madeleine-Weigel-3D-Photonic-Integration-Cascaded-1x1-3D-Multi-mode-Interference-Couplers-ECIO-2020.pdf (2020).
Serecunova, S. et al. Optimization of 3D 1 × 4 multimode interference splitter based on polymer material platform. AIP Conf. Proc. 2778, 030009 (2023).
Weigel, M. et al. Design and fabrication of crossing-free waveguide routing networks using a multi-layer polymer-based photonic integration platform. J. Lightwave Technol. 42, 1511–1517 (2024).
Weigel, M. et al. In Integrated Optics: Devices, Materials, and Technologies XXIX, Vol. 13369 (eds García-Blanco, S. M. & Cheben, P.) 1336919 (SPIE, 2025).
Kirita, K. & Koyama, F. Vertical MMI coupler for 3D photonic integrated circuits. In 2009 IEEE LEOS Annual Meeting Conference Proceedings, 477–478 (2009).
Sun, R. et al. High performance asymmetric graded index coupler with integrated lens for high index waveguides. Appl. Phys. Lett. 90, 201116 (2007).
Fahrenkopf, N. M. et al. The aim photonics MPW: a highly accessible cutting edge technology for rapid prototyping of photonic integrated circuits. IEEE J. Sel. Top. Quantum Electron. 25, 1–6 (2019).
Saleh, B. E. A. & Teich, M. C. Fundamentals of Photonics, 2nd edn, 1–40 (John Wiley & Sons Inc., 2007).
Marchetti, R. et al. High-efficiency grating-couplers: demonstration of a new design strategy. Sci. Rep. 7, 16670 (2017).
Marchetti, R., Lacava, C., Carroll, L., Gradkowski, K. & Minzioni, P. Coupling strategies for silicon photonics integrated chips. Photon. Res. 7, 201–239 (2019).
Zonou, Y. D. et al. Self-alignment with copper pillars micro-bumps for positioning optical devices at submicronic accuracy. In 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), 557–562 (2017).
Bernabé, S. et al. Chip-to-chip optical interconnections between stacked self-aligned SOI photonic chips. Opt. Express 20, 7886–7894 (2012).
Wang, H. et al. Ultralow-loss optical interconnect enabled by topological unidirectional guided resonance. Sci. Adv. 10, eadn4372 (2024).
Benedikovic, D. et al. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography. Opt. Lett. 42, 3439–3442 (2017).
Chen, Y. et al. Experimental demonstration of an apodized-imaging chip-fiber grating coupler for Si3N4 waveguides. Opt. Lett. 42, 3566–3569 (2017).
Chen, X., Thomson, D. J., Crudginton, L., Khokhar, A. Z. & Reed, G. T. Dual-etch apodised grating couplers for efficient fibre-chip coupling near 1310 nm wavelength. Opt. Express 25, 17864–17871 (2017).
Tian, Z.-T., Zhuang, Z.-P., Fan, Z.-B., Chen, X.-D. & Dong, J.-W. High-efficiency grating couplers for pixel-level flat-top beam generation. Photonics 9, 207 (2022).
Benedikovic, D. et al. Sub-decibel silicon grating couplers based on L-shaped waveguides and engineered subwavelength metamaterials. Opt. Express 27, 26239–26250 (2019).
Vitali, V. et al. High-efficiency reflector-less dual-level silicon photonic grating coupler. Photon. Res. 11, 1275–1283 (2023).
Benedikovic, D. et al. High-directionality fiber-chip grating coupler with interleaved trenches and subwavelength index-matching structure. Opt. Lett. 40, 4190–4193 (2015).
Alonso-Ramos, C. et al. Fiber-chip grating coupler based on interleaved trenches with directionality exceeding 95%. Opt. Lett. 39, 5351–5354 (2014).
Luo, X., Mi, G., Li, Y. & Chu, T. High-efficiency grating coupler based on fast directional optimization and robust layout strategy in 130nm CMOS process. Opt. Lett. 47, 1622–1625 (2022).
Notaros, J. et al. Ultra-efficient CMOS fiber-to-chip grating couplers. In 2016 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2016).
Wade, M. T. et al. 75% efficient wide bandwidth grating couplers in a 45 nm microelectronics CMOS process. In 2015 IEEE Optical Interconnects Conference (OI), 46–47 (2015).
Michaels, A. & Yablonovitch, E. Inverse design of near unity efficiency perfectly vertical grating couplers. Opt. Express 26, 4766–4779 (2018).
Vermeulen, D. et al. High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible silicon-on-insulator platform. Opt. Express 18, 18278–18283 (2010).
Roelkens, G., Thourhout, D. V. & Baets, R. High efficiency silicon-on-insulator grating coupler based on a poly-silicon overlay. Opt. Express 14, 11622–11630 (2006).
Chen, H.-Y. & Yang, K.-C. Design of a high-efficiency grating coupler based on a silicon nitride overlay for silicon-on-insulator waveguides. Appl. Opt. 49, 6455–6462 (2010).
Wang, B., Jiang, J. & Nordin, G. Embedded slanted grating for vertical coupling between fibers and silicon-on-insulator planar waveguides. IEEE Photonics Technol. Lett. 17, 1884–1886 (2005).
Schrauwen, J., Van Laere, F., Van Thourhout, D. & Baets, R. Focused-ion-beam fabrication of slanted grating couplers in silicon-on-insulator waveguides. IEEE Photonics Technol. Lett. 19, 816–818 (2007).
Van Laere, F. et al. Compact and highly efficient grating couplers between optical fiber and nanophotonic waveguides. J. Lightwave Technol. 25, 151–156 (2007).
Asaduzzaman, M., Bakaul, M., Skafidas, E. & Khandokar, M. R. H. A compact silicon grating coupler based on hollow tapered spot-size converter. Sci. Rep. 8, 2540 (2018).
Zhang, H. et al. Efficient silicon nitride grating coupler with distributed Bragg reflectors. Opt. Express 22, 21800–21805 (2014).
Hong, J., Spring, A. M., Qiu, F. & Yokoyama, S. A high efficiency silicon nitride waveguide grating coupler with a multilayer bottom reflector. Sci. Rep. 9, 12988 (2019).
Wan, C., Gaylord, T. K. & Bakir, M. S. Circular waveguide grating-via-grating for interlayer coupling. IEEE Photonics Technol. Lett. 29, 1776–1779 (2017).
Wan, C. Optical Coupler Design and Experimental Demonstration for 2.5/3D Heterogeneous Integrated Electronics. Ph.D. thesis, Georgia Institute of Technology (2018).
Sodagar, M., Pourabolghasem, R., Eftekhar, A. A. & Adibi, A. High-efficiency and wideband interlayer grating couplers in multilayer si/sio2/sin platform for 3d integration of optical functionalities. Opt. Express 22, 16767–16777 (2014).
Kuno, Y. et al. Design of apodized hydrogenated amorphous silicon grating couplers with metal mirrors for inter-layer signal coupling: Toward three-dimensional optical interconnection. Jpn. J. Appl. Phys. 54, 04DG04 (2015).
Wang, J., Hu, C., Wang, Z. & Zhang, H. Efficient chip-to-chip silicon grating coupler with high alignment tolerance at o-band. In 2023 Opto-Electronics and Communications Conference (OECC), 1–3 (2023).
Schares, L. et al. Terabus: Terabit/second-class card-level optical interconnect technologies. IEEE J. Sel. Top. Quantum Electron. 12, 1032–1044 (2006).
Noriki, A. et al. Broadband and polarization-independent efficient vertical optical coupling with 45° mirror for optical I/O of Si photonics. J. Lightwave Technol. 34, 978–984 (2016).
Boucaud, J.-M. et al. Glass interposer for heterogeneous integration of flip-chipped photonic and electronic integrated circuits. Appl. Phys. Lett. 125, 054101 (2024).
Tu, P., Chan, Y., Hung, K. & Lai, J. Study of micro-BGA solder joint reliability. Microelectron. Reliab. 41, 287–293 (2001).
Chen, H., van Uden, R., Okonkwo, C. & Koonen, T. Compact spatial multiplexers for mode division multiplexing. Opt. Express 22, 31582–31594 (2014).
Choi, S. et al. Development of a vertical optical coupler using a slanted etching of InP/InGaAsP waveguide. IEICE Electron. Express 10, 20130116–20130116 (2013).
Ip, V., Pearsall, F., Henry, T. & Singhal, R. In Advanced Etch Technology and Process Integration for Nanopatterning X, Vol. 11615 (eds Bannister, J. & Mohanty, N.) 116150H (SPIE, 2021).
Zornberg, L. Z. et al. Self-assembling systems for optical out-of-plane coupling devices. ACS Nano 17, 3394–3400 (2023).
Bar-On, O. et al. High quality 3d photonics using nano imprint lithography of fast sol-gel materials. Sci. Rep. 8, 7833 (2018).
Howell, S. T., Grushina, A., Holzner, F. & Brugger, J. Thermal scanning probe lithography—a review. Microsyst. nanoengineering 6, 21 (2020).
Yu, S. et al. Free-form micro-optics enabling ultra-broadband low-loss off-chip coupling. Laser Photonics Rev. 17, 2200025 (2023).
Yu, S. et al. Optical free-form couplers for high-density integrated photonics (offchip): a universal optical interface. J. Lightwave Technol. 38, 3358–3365 (2020).
Ranno, L. et al. Highly efficient fiber to Si waveguide free-form coupler for foundry-scale silicon photonics. Photon. Res. 12, 1055–1066 (2024).
Huang, H. et al. Photonic chiplet interconnection via 3D-nanoprinted interposer. Light Adv. Manuf. 5, 542 (2024).
Chen, A. et al. Vertically tapered polymer waveguide mode size transformer for improved fiber coupling. Opt. ENG. - OPT ENG 39, 1507–1516 (2000).
Hanai, K., Nakahara, T., Shimizu, S. & Matsumoto, Y. Fabrication of three-dimensional structures by image processing. Sens. Mater. 18, 049–061 (2006).
Trautmann, A., Götzendorfer, B., Walther, T. & Hellmann, R. Scaffolds in a shell—a new approach combining one-photon and two-photon polymerization. Opt. Express 26, 29659–29668 (2018).
Saha, S. K. et al. Scalable submicrometer additive manufacturing. Science 366, 105–109 (2019).
Hahn, V. et al. Light-sheet 3d microprinting via two-colour two-step absorption. Nat. Photonics 16, 784–791 (2022).
Maibohm, C. et al. Multi-beam two-photon polymerization for fast large area 3d periodic structure fabrication for bioapplications. Sci. Rep. 10, 8740 (2020).
Pisanello, M. et al. An open source three-mirror laser scanning holographic two-photon lithography system. PLoS ONE 17, e0265678 (2022).
Obata, K., Koch, J., Hinze, U. & Chichkov, B. N. Multi-focus two-photon polymerization technique based on individually controlled phase modulation. Opt. Express 18, 17193–17200 (2010).
Haus, H. & Šipilov, K. Waves and Fields in Optoelectronics (Prentice-Hall, 1984).
Weninger, D., Serna, S., Jain, A., Kimerling, L. & Agarwal, A. High density vertical optical interconnects for passive assembly. Opt. Express 31, 2816–2832 (2023).
Weninger, D., Serna, S., Ranno, L., Kimerling, L. & Agarwal, A. Low loss chip-to-chip couplers for high-density co-packaged optics. Adv. Eng. Mater. 27, 2402095 (2025).
Brusberg, L. et al. Glass substrate with integrated waveguides for surface mount photonic packaging. J. Lightwave Technol. 39, 912–919 (2021).
Dangel, R. et al. Polymer waveguides enabling scalable low-loss adiabatic optical coupling for silicon photonics. IEEE J. Sel. Top. Quantum Electron. 24, 1–11 (2018).
Bandyopadhyay, S. & Englund, D. Alignment-free photonic interconnects. Preprint at https://arxiv.org/abs/2110.12851 (2021).
Dangel, R. et al. Development of versatile polymer waveguide flex technology for use in optical interconnects. J. Lightwave Technol. 31, 3915–3926 (2013).
Churaev, M. et al. A heterogeneously integrated lithium niobate-on-silicon nitride photonic platform. Nat. Commun. 14, 3499 (2023).
Tran, M. A. et al. Extending the spectrum of fully integrated photonics to submicrometre wavelengths. Nature 610, 54–60 (2022).
Zhou, Z., Yin, B. & Michel, J. On-chip light sources for silicon photonics. Light Sci. Appl. 4, e358–e358 (2015).
Roelkens, G. et al. Iii-v/silicon photonics for on-chip and intra-chip optical interconnects. Laser Photonics Rev. 4, 751–779 (2010).
de Beeck, C. O. et al. Heterogeneous III-V on silicon nitride amplifiers and lasers via microtransfer printing. Optica 7, 386–393 (2020).
Zhang, Y., Ling, Y.-C., Zhang, Y., Shang, K. & Yoo, S. J. B. High-density wafer-scale 3-D silicon-photonic integrated circuits. IEEE J. Sel. Top. Quantum Electron. 24, 1–10 (2018).
Sun, P. & Reano, R. M. Vertical chip-to-chip coupling between silicon photonic integrated circuits using cantilever couplers. Opt. Express 19, 4722–4727 (2011).
Atsumi, Y., Yoshida, T., Omoda, E. & Sakakibara, Y. Vertically-curved si surface optical coupler for coupling with standard single-mode optical fibers. In Optical Fiber Communication Conference (OFC) 2020, W2A.6 (Optica Publishing Group, 2020).
Qvotrup, C. et al. Curved GaAs cantilever waveguides for the vertical coupling to photonic integrated circuits. Opt. Express 32, 3723–3734 (2024).
Charavel, R., Olbrechts, B. & Raskin, J.-P. Stress release of PECVD oxide by RTA. Proc. SPIE 5116, 596–606 (2003).
Yoshida, T. et al. Vertical silicon waveguide coupler bent by ion implantation. Opt. Express 23, 29449–29456 (2015).
Yoshida, T., Atsumi, Y., Omoda, E. & Sakakibara, Y. Broadband and polarization insensitive surface optical coupler using vertically curved waveguides fabricated with ArF-immersion lithography. In Optical Fiber Communication Conference (OFC) 2019, Tu2J.7 (Optica Publishing Group, 2019).
Yoshida, T., Atsumi, Y., Omoda, E. & Sakakibara, Y. Improvement of fabrication accuracy of vertically curved silicon waveguide optical coupler using hard mask shielded ion implantation bending. Jpn. J. Appl. Phys. 59, 078003 (2020).
Yoshida, T., Atsumi, Y., Omoda, E. & Sakakibara, Y. Polarization-insensitive vertically curved Si surface optical coupler bent by ion implantation. IEEE Photonics Technol. Lett. 32, 1319–1322 (2020).
Sakakibara, Y. et al. Vertical optical fiber assembly on silicon photonic chips using 3D-curved silicon waveguide couplers. In 2020 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2020).
Leijtens, X., Santos, R. & Williams, K. High density multi-channel passively aligned optical probe for testing of photonic integrated circuits. IEEE Photonics J. 13, 1–15 (2021).
Wörhoff, K., Heideman, R. G., Leinse, A. & Hoekman, M. Triplex: a versatile dielectric photonic platform. Adv. Opt. Technol. 4, 189–207 (2015).
Blaicher, M. et al. Hybrid multi-chip assembly of optical communication engines by in situ 3d nano-lithography. Light Sci. Appl. 9, 71 (2020).
Billah, M. R. et al. Hybrid integration of silicon photonics circuits and InP lasers by photonic wire bonding. Optica 5, 876–883 (2018).
Gu, Z. et al. Optical transmission between III-V chips on Si using photonic wire bonding. Opt. Express 23, 22394–22403 (2015).
Lindenmann, N. et al. Photonic wire bonding: a novel concept for chip-scale interconnects. Opt. Express 20, 17667–17677 (2012).
Rhee, H.-W. et al. Direct optical wire bonding through open-to-air polymerization for silicon photonic chips. Opt. Lett. 47, 714–717 (2022).
Jiang, L. et al. Smartprint single-mode flexible polymer optical interconnect for high density integrated photonics. J. Lightwave Technol. 40, 3839–3844 (2022).
Lorenz, L., Nieweglowski, K., Wolter, K.-J. & Bock, K. Analysis of bending effects for optical-bus-couplers. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 2523–2528 (2016).
Lüngen, S. et al. 3D optical coupling techniques on polymer waveguides for wafer and board level integration. In 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), 1612–1618 (2017).
Nieweglowski, K. et al. Optical coupling with flexible polymer waveguides for chip-to-chip interconnects in electronic systems. Microelectron. Reliab. 84, 121–126 (2018).
Reddy, J. W., Lassiter, M., Venkateswaran, R. & Chamanar, M. Parylene photonic waveguides with integrated vertical input/output ports for flexible, biocompatible photonics. In 2019 20th International Conference on Solid-State Sensors, Actuators and Microsystems & Eurosensors XXXIII (TRANSDUCERS & EUROSENSORS XXXIII), 2448–2451 (2019).
Reddy, J. W., Lassiter, M. & Chamanzar, M. Parylene photonics: a flexible, broadband optical waveguide platform with integrated micromirrors for biointerfaces. Microsyst. Nanoeng. 6, 85 (2020).
Frish, J. I. et al. Rapid photolithographic fabrication of high density optical interconnects using refractive index contrast polymers. Opt. Mater. Express 12, 1932–1944 (2022).
Gross, S. & Withford, M. J. Ultrafast-laser-inscribed 3d integrated photonics: challenges and emerging applications. Nanophotonics 4, 332–352 (2015).
Thomson, R. R. Ultrafast laser inscription of 3d waveguides for SDM applications. In ECOC 2016; 42nd European Conference on Optical Communication, 1–3 (2016).
Courvoisier, A., Booth, M. J. & Salter, P. S. Inscription of 3D waveguides in diamond using an ultrafast laser. Appl. Phys. Lett. 109, 031109 (2016).
Yoo, S., Guan, B. & Scott, R. P. Heterogeneous 2d/3d photonic integrated microsystems. Microsyst. Nanoeng. 2, 1–9 (2016).
Fernandez, T. T. et al. Ultrafast laser inscribed waveguides in tailored fluoride glasses: an enabling technology for mid-infrared integrated photonics devices. Sci. Rep. 12, 14674 (2022).
Gattass, R. R. & Mazur, E. Femtosecond laser micromachining in transparent materials. Nat. Photonics 2, 219–225 (2008).
Zhang, Y., Samanta, A., Shang, K. & Yoo, S. J. B. Scalable 3d silicon photonic electronic integrated circuits and their applications. IEEE J. Sel. Top. Quantum Electron. 26, 1–10 (2020).
Littlefield, A. J. et al. Enabling high precision gradient index control in subsurface multiphoton lithography. ACS Photonics 10, 3008–3019 (2023).
Kozai, T. D., Jaquins-Gerstl, A. S., Vazquez, A. L., Michael, A. C. & Cui, X. T. Brain tissue responses to neural implants impact signal sensitivity and intervention strategies. ACS Chem. Neurosci. 6, 48–67 (2015).
Heck, M. J. R., Bauters, J. F., Davenport, M. L., Spencer, D. T. & Bowers, J. E. Ultra-low loss waveguide platform and its integration with silicon photonics. Laser Photonics Rev. 8, 667–686 (2014).
Noriki, A. et al. Through-silicon photonic via and unidirectional coupler for high-speed data transmission in optoelectronic three-dimensional LSI. IEEE Electron Device Lett. 33, 221–223 (2012).
Zhang, Y. et al. Sub-wavelength spacing optical phase array nanoantenna emitter with vertical silicon photonic vias. In 2018 Optical Fiber Communications Conference and Exposition (OFC), 1–3 (2018).
Guo, P. et al. Fault-tolerant routing mechanism in 3d optical network-on-chip based on node reuse. IEEE Trans. Parallel Distrib. Syst. 31, 547–564 (2020).
Takagi, Y. et al. Optical through-hole with high aspect ratio for on-board optical transmission. In 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference, 1–3 (2011).
Vis, W., Chou, B. C., Sundaram, V. & Tummala, R. Self-aligned chip-to-chip optical interconnections in ultra-thin 3d glass interposers. In 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), 804–809 (2015).
Chou, B. C. et al. Modeling, design, and demonstration of ultra-miniaturized and high efficiency 3d glass photonic modules. In 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), 1054–1059 (2014).
Moyer, P. J., Benrashid, R., Dupriez, P. & Farahi, F. In Micromachining Technology for Micro-Optics and Nano-Optics, Vol. 4984 (ed. Johnson, E. G.) 38–45 (SPIE, 2003).
Trichur, R., Fowler, M., McCutcheon, J. & Daily, M. Filling and planarizing deep trenches with polymeric material for through-silicon via technology. Int. Symp. Microelectron. 2010, 000192–000196 (2010).
Noriki, A. et al. Optical TSV using Si-photonics integrated curved micro-mirror. In 2019 International 3D Systems Integration Conference (3DIC), 1–4 (2019).
Mangal, N., Missinne, J., Campenhout, J. V., Snyder, B. & Steenberge, G. V. Ball lens embedded through-package via to enable backside coupling between silicon photonics interposer and board-level interconnects. J. Lightwave Technol. 38, 2360–2369 (2020).
Mangal, N., Snyder, B., Van Campenhout, J., Van Steenberge, G. & Missinne, J. Expanded-beam backside coupling interface for alignment-tolerant packaging of silicon photonics. IEEE J. Sel. Top. Quantum Electron. 26, 1–7 (2020).
Chou, B. et al. Design and demonstration of micro-mirrors and lenses for low loss and low cost single-mode fiber coupling in 3d glass photonic interposers. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 497–503 (2016).
Yang, Z. et al. High-G MEMS shock threshold sensor integrated on a copper filling through-glass-via (TGV) substrate for surface mount application. In 2015 Transducers - 2015 18th International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), 291–294 (2015).
Yook, J., Kim, D. & Kim, J. C. High performance IPDS (integrated passive devices) and TGV (through glass via) interposer technology using the photosensitive glass. 2014 IEEE 64th Electronic Components and Technology Conference (ECTC) 41–46 (2014).
Takahashi, S. et al. Development of through glass via (TGV) formation technology using electrical discharging for 2.5/3d integrated packaging. In 2013 IEEE 63rd Electronic Components and Technology Conference, 348–352 (2013).
Li, X., Abe, T., Liu, Y. & Esashi, M. Fabrication of high-density electrical feed-throughs by deep-reactive-ion etching of Pyrex glass. Microelectromech. Syst., J. 11, 625 – 630 (2003).
Sukumaran, V. et al. Through-package-via formation and metallization of glass interposers. In 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) 557–563 (2010).
Jin, J.-Y., Yoo, S., Bae, J.-S. & Kim, Y.-K. Deep wet etching of borosilicate glass and fused silica with dehydrated AZ4330 and a Cr/Au mask. J. Micromech. Microeng. 24, 015003 (2013).
Marcinkevičius, A. et al. Femtosecond laser-assisted three-dimensional microfabrication in silica. Opt. Lett. 26, 277–279 (2001).
Kawaguchi, Y., Sato, T., Narazaki, A., Kurosaki, R. & Niino, H. Etching a micro-trench with a maximum aspect ratio of 60 on silica glass by laser-induced backside wet etching (LIBWE). Jpn. J. Appl. Phys. 44, L176 (2005).
Flemming, J., McWethy, K., Mezel, T., Chenoweth, L. & Schmidt, C. Photosensitive glass-ceramics for heterogeneous integration. In Additional Conferences (Device Packaging, HiTEC, HiTEN, & CICMT, Vol. 2019, 000880-000907 (2019).
Ishii, Y., Koike, S., Arai, Y. & Ando, Y. Smt-compatible large-tolerance “optobump" interface for interchip optical interconnections. IEEE Trans. Adv. Packaging 26, 122–127 (2003).
Brusberg, L., Schlepple, N. & Schröder, H. Chip-to-chip communication by optical routing inside a thin glass substrate. In 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), 805–812 (2011).
Chou, B. et al. Co-design and demonstration of a fully integrated optical transceiver package featuring optical, electrical, and thermal interconnects in glass substrate. Int. Symp. Microelectron. 2016, 000007–000012 (2016).
Chou, B. Low Loss and High Tolerance Out-Of-Plane Single-Mode Optical Interconnections in Glass Interposers. Ph.D. thesis, Georgia Institute of Technology (2016).
Ishii, Y. & Arai, Y. Large-tolerant “OptoBump" interface for interchip optical interconnections. Electron. Commun. Jpn. Part Ii-Electron. 86, 1–8 (2003).
Schröder, H., Brusberg, L., Arndt-Staufenbiel, N. & Tekin, T. In Photonics Packaging, Integration, and Interconnects IX, Vol. 7221 (eds. Glebov, A. L. & Chen, R. T.) 72210D (SPIE, 2009).
Missinne, J. et al. In Silicon Photonics XIV, Vol. 10923 (eds Reed, G. T. & Knights, A. P.) 1092304 (SPIE, 2019).
Kim, J. et al. Silicon vs. organic interposer: PPA and reliability tradeoffs in heterogeneous 2.5D chiplet integration. In 2020 IEEE 38th International Conference on Computer Design (ICCD), 80–87 (2020).
Kash, J. et al. Chip-to-chip optical interconnects. In 2006 Optical Fiber Communication Conference and the National Fiber Optic Engineers Conference, 3 (2006).
Libsch, F. et al. MCM LGA package with optical I/O passively aligned to dual layer polymer waveguides in PCB. In 56th Electronic Components and Technology Conference 2006, 7 pp (2006).
Doany, F. E. et al. 160-Gb/s bidirectional parallel optical transceiver module for board-level interconnects using a single-chip CMOS IC. In 2007 Proceedings 57th Electronic Components and Technology Conference, 1256–1261 (2007).
Doany, F. et al. Chip-to-chip board-level optical data buses. In OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference, 1–3 (2008).
Schow, C. L. et al. A single-chip CMOS-based parallel optical transceiver capable of 240-Gb/s bidirectional data rates. J. Lightwave Technol. 27, 915–929 (2009).
Doany, F. E. et al. Terabit/s-class optical PCB links incorporating 360-gb/s bidirectional 850 nm parallel optical transceivers. J. Lightwave Technol. 30, 560–571 (2012).
Yagisawa, T. et al. Structure of 25-Gb/s optical engine for QSFP enabling high-precision passive alignment of optical assembly. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 1099–1104 (2016).
Mangal, N., Missinne, J., Van Steenberge, G., Van Campenhout, J. & Snyder, B. Performance evaluation of backside emitting O-band grating couplers for 100 μm-thick silicon photonics interposers. IEEE Photonics J. PP, 1–1 (2019).
Reinsel, D., Gantz, J. & Rydning, J. The Digitization of the World from Edge to Core. Tech. Rep. US44413318, International Data Corporation (2018).
Minkenberg, C., Krishnaswamy, R., Zilkie, A. & Nelson, D. Co-packaged datacenter optics: opportunities and challenges. IET Optoelectron. 15, 77–91 (2021).
Fathololoumi, S. et al. 1.6 Tbps silicon photonics integrated circuit and 800 Gbps photonic engine for switch co-packaging demonstration. J. Lightwave Technol. 39, 1155–1161 (2021).
Liu, C. et al. Optical Internetworking Forum: Next Generation CEI-224G Framework. http://www.oiforum.com/wp-content/uploads/OIF-FD-CEI-224G-01.0.pdf (2022).
Wang, X., He, X. & Ren, H. Advanced FEC for 200 Gb/s transceiver in 800 GbE and 1.6 TbE standard. IEEE Commun. Stand. Mag. 7, 56–62 (2023).
Ghiasi, A. Large data centers interconnect bottlenecks. Opt. Express 23, 2085–2090 (2015).
Piehler, D. In Optical Interconnects XX, Vol. 11286 (eds. Schröder, H. & Chen, R. T.) 1128602 (SPIE, 2020).
Rozite, V., Bertoli, E. & Reidenbach, B. Tracking Data Centres and Data Transmission Networks. https://www.iea.org/energy-system/buildings/data-centres-and-data-transmission-networks (2023).
Xiang, C. & Bowers, J. E. Building 3d integrated circuits with electronics and photonics. Nat. Electron. 7, 422–424 (2024).
Samtec. Firefly Micro Flyover System. https://www.samtec.com/optics/optical-cable/mid-board/firefly (2022).
Barwicz, T. & Taira, Y. Low-cost interfacing of fibers to nanophotonic waveguides: design for fabrication and assembly tolerances. IEEE Photonics J. 6, 1–18 (2014).
Martin, Y., Nah, J., Kamlapurkar, S., Engelmann, S. & Barwicz, T. Toward high-yield 3d self-alignment of flip-chip assemblies via solder surface tension. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 588–594 (2016).
Janta-Polczynski, A. et al. In Optical Interconnects XVIII, Vol. 10538 (eds Schröder, H. & Chen, R. T.) 105380B (SPIE, 2018).
Brusberg, L. et al. Glass interposer for high-density photonic packaging. In 2022 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2022).
Yeary, L. et al. Co-packaged optics on glass substrates for 102.4 Tb/s data center switches. In 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), 224–227 (2023).
Brusberg, L. et al. Glass platform for co-packaged optics. IEEE J. Sel. Top. Quantum Electron. 29, 1–10 (2023).
Takemura, K. et al. Silicon-photonics-embedded interposers as co-packaged optics platform. Trans. Jpn. Inst. Electron. Packaging 15, E21–012–1–E21–012–13 (2022).
Asch, J. V. et al. Low-loss integration of high-density polymer waveguides with silicon photonics for co-packaged optics. Optica 12, 821–830 (2025).
Schröder, H., Schwietering, J., Böttger, G. & Zamora, V. Hybrid photonic system integration using thin glass platform technology. J. Optical Microsyst. 1, 033501 (2021).
Zhang, Y. et al. Low-loss and broadband wafer-scale optical interposers for large-scale heterogeneous integration. Opt. Express 32, 40–51 (2024).
Lin, H. et al. Mid-infrared integrated photonics on silicon: a perspective. Nanophotonics 7, 393–420 (2018).
Chandrasekar, R., Lapin, Z. J., Nichols, A., Braun, R. & Fountain III, A. W. Photonic integrated circuits for Department of Defense-relevant chemical and biological sensing applications: state-of-the-art and future outlooks. Opt. Eng. 58, 020901 (2019).
Gan, C. L. & Huang, C.-Y. Recycling of Noble Metals Used in Memory Packaging, 45–66 (Springer International Publishing, 2023).
Mitchell, C. J. et al. Mid-infrared silicon photonics: from benchtop to real-world applications. APL Photonics 9, 080901 (2024).
Mai, A., Mai, C. & Steglich, P. From lab-on-chip to lab-in-app: challenges towards silicon photonic biosensors product developments. Results Opt. 9, 100317 (2022).
Laplatine, L. et al. System-level integration of active silicon photonic biosensors using fan-out wafer-level-packaging for low cost and multiplexed point-of-care diagnostic testing. Sens. Actuators B: Chem. 273, 1610–1617 (2018).
Mai, C., Steglich, P., Fraschke, M. & Mai, A. Back-side release of slot waveguides for the integration of functional materials in a silicon photonic technology with a full beol. IEEE Trans. Compon., Packaging Manuf. Technol. 10, 1569–1574 (2020).
Steglich, P. et al. Biopic - integration of biosensors based on photonic integrated circuits by local-backside etching. In 2020 ATTRACT Final Conference (2020).
Steglich, P. et al. CMOS-compatible silicon photonic sensor for refractive index sensing using local back-side release. IEEE Photonics Technol. Lett. 32, 1241–1244 (2020).
Adamopoulos, C. et al. Lab-on-chip for everyone: introducing an electronic-photonic platform for multiparametric biosensing using standard CMOS processes. IEEE Open J. Solid-State Circuits Soc. 1, 198–208 (2021).
Adamopoulos, C., Gharia, A., Niknejad, A., Anwar, M. & Stojanović, V. Electronic-photonic platform for label-free biophotonic sensing in advanced zero-change CMOS-SOI process. In 2019 Conference on Lasers and Electro-Optics (CLEO), 1–2 (2019).
Luan, E. et al. Towards a high-density photonic tensor core enabled by intensity-modulated microrings and photonic wire bonding. Sci. Rep. 13, 1260 (2023).
Peserico, N., de Lima, T. F., Prucnal, P. & Sorger, V. J. Emerging devices and packaging strategies for electronic-photonic AI accelerators: opinion. Opt. Mater. Express 12, 1347–1351 (2022).
Nezami, M. S. et al. Packaging and interconnect considerations in neuromorphic photonic accelerators. IEEE J. Sel. Top. Quantum Electron. 29, 1–11 (2023).
Werner, S. et al. 3D photonics as enabling technology for deep 3D DRAM stacking. In Proceedings of the International Symposium on Memory Systems, MEMSYS ’19, 206–221 (Association for Computing Machinery, 2019).
Shahab, A., Zhu, M., Margaritov, A. & Grot, B. Farewell my shared llc! a case for private die-stacked DRAM caches for servers. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 559–572 (2018).
Serafy, C., Bar-Cohen, A., Srivastava, A. & Yeung, D. Unlocking the true potential of 3-D CPUs with microfluidic cooling. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 24, 1515–1523 (2016).
Franzon, P., Wilson, J. & Li, M. Thermal isolation in 3d chip stacks using vacuum gaps and capacitive or inductive communications. In 2010 IEEE International 3D Systems Integration Conference (3DIC), 1–4 (2010).
Zhang, Y. & Bakir, M. S. Independent interlayer microfluidic cooling for heterogeneous 3D IC applications. Electron. Lett. 49, 404–406 (2013).
Salvi, S. S. & Jain, A. A review of recent research on heat transfer in three-dimensional integrated circuits (3-D ICs). IEEE Trans. Compon., Packaging Manuf. Technol. 11, 802–821 (2021).
Luo, W. et al. Recent progress in quantum photonic chips for quantum communication and internet. Light Sci. Appl. 12, 175 (2023).
Zhang, G. et al. An integrated silicon photonic chip platform for continuous-variable quantum key distribution. Nat. Photonics 13, 839–842 (2019).
Pirandola, S., Eisert, J., Weedbrook, C., Furusawa, A. & Braunstein, S. L. Advances in quantum teleportation. Nat. Photonics 9, 641–652 (2015).
Taballione, C. et al. A universal fully reconfigurable 12-mode quantum photonic processor. Mater. Quantum Technol. 1, 035002 (2021).
Harrow, A. W. & Montanaro, A. Quantum computational supremacy. Nature 549, 203–209 (2017).
Wang, H. et al. Toward scalable boson sampling with photon loss. Phys. Rev. Lett. 120, 230502 (2018).
Natarajan, C. M., Tanner, M. G. & Hadfield, R. H. Superconducting nanowire single-photon detectors: physics and applications. Supercond. Sci. Technol. 25, 063001 (2012).
Pernice, W. H. et al. High-speed and high-efficiency travelling wave single-photon detectors embedded in nanophotonic circuits. Nat. Commun. 3, 1325 (2012).
Elshaari, A. W., Pernice, W., Srinivasan, K., Benson, O. & Zwiller, V. Hybrid integrated quantum photonic circuits. Nat. photonics 14, 285–298 (2020).
Gyger, S. et al. Reconfigurable photonics with on-chip single-photon detectors. Nat. Commun. 12, 1408 (2021).
Lomonte, E. et al. Single-photon detection and cryogenic reconfigurability in lithium niobate nanophotonic circuits. Nat. Commun. 12, 6847 (2021).
Elshaari, A. W., Zadeh, I. E., Jöns, K. D. & Zwiller, V. Thermo-optic characterization of silicon nitride resonators for cryogenic photonic circuits. IEEE Photonics J. 8, 1–9 (2016).
Gehl, M. et al. Operation of high-speed silicon photonic micro-disk modulators at cryogenic temperatures. Optica 4, 374–382 (2017).
Kimble, H. J. The quantum internet. Nature 453, 1023–1030 (2008).
Chanana, A. et al. Ultra-low loss quantum photonic circuits integrated with single quantum emitters. Nat. Commun. 13, 7693 (2022).
Davanco, M. et al. Heterogeneous integration for on-chip quantum photonic circuits with single quantum dot devices. Nat. Commun. 8, 889 (2017).
Aghaeimeibodi, S. et al. Integration of quantum dots with lithium niobate photonics. Appl. Phys. Lett. 113, 221102 (2018).
Li, Q., Davanço, M. & Srinivasan, K. Efficient and low-noise single-photon-level frequency conversion interfaces using silicon nanophotonics. Nat. Photonics 10, 406–414 (2016).
Lomonte, E., Lenzini, F., Loredo, J., Senellart, P. & Pernice, W. In Light-Matter Interactions Towards the Nanoscale (eds Cesaria, M., Calà Lesina, A. & Collins, J.) 339–341 (Springer Netherlands, 2022).
Rao, A. et al. Towards integrated photonic interposers for processing octave-spanning microresonator frequency combs. Light Sci. Appl. 10, 109 (2021).
Kudalippalliyalil, R., Chandran, S., Jaiswal, A., Wang, K. L. & Jacob, A. P. Heterogeneously integrated quantum chip interposer packaging. In 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 1869–1874 (2022).
Staffas, T., Elshaari, A. & Zwiller, V. Frequency modulated continuous wave and time of flight lidar with single photons: a comparison. Opt. Express 32, 7332–7341 (2024).
Martin, A. et al. Photonic integrated circuit-based FMCW coherent LiDAR. J. Lightwave Technol. 36, 4640–4645 (2018).
Poulton, C. V. et al. Coherent LiDAR with an 8,192-element optical phased array and driving laser. IEEE J. Sel. Top. Quantum Electron. 28, 1–8 (2022).
Lukashchuk, A. et al. Photonic-electronic integrated circuit-based coherent LiDAR engine. Nat. Commun. 15, 3134 (2024).
Gagino, M. et al. Integrated optical phased array with on-chip amplification enabling programmable beam shaping. Sci. Rep. 14, 9590 (2024).
Li, Y. et al. Wide-steering-angle high-resolution optical phased array. Photon. Res. 9, 2511–2518 (2021).
Xu, W. et al. Fully integrated solid-state lidar transmitter on a multi-layer silicon-nitride-on-silicon photonic platform. J. Lightwave Technol. 41, 832–840 (2023).
Ye, M. et al. Large steering range and low-loss integrated optical phased array with SiN-Si dual-layer non-uniform antenna. Opt. Express 31, 44564–44574 (2023).
Poulton, C. V. et al. Large-scale silicon nitride nanophotonic phased arrays at infrared and visible wavelengths. Opt. Lett. 42, 21–24 (2017).
Zhang, L. et al. Investigation and demonstration of a high-power handling and large-range steering optical phased array chip. Opt. Express 29, 29755–29765 (2021).
Komatsu, K., Kohno, Y., Nakano, Y. & Tanemura, T. Large-scale monolithic InP-based optical phased array. IEEE Photonics Technol. Lett. 33, 1123–1126 (2021).
Zhang, Z. et al. A tri-layer Si3N4-on-Si optical phased array with high angular resolution. IEEE Photonics Technol. Lett. 34, 1108–1111 (2022).
Notaros, J. et al. Cmos-compatible optical phased array powered by a monolithically-integrated erbium laser. J. Lightwave Technol. 37, 5982–5987 (2019).
Im, C.-S. et al. Hybrid integrated silicon nitride-polymer optical phased array for efficient light detection and ranging. J. Lightwave Technol. 39, 4402–4409 (2021).
Lee, E.-S., Jin, J., Chun, K.-W., Lee, S.-S. & Oh, M.-C. High-performance optical phased array for LiDARs demonstrated by monolithic integration of polymer and SiN waveguides. Opt. Express 31, 28112–28121 (2023).
Rahim, A. et al. Expanding the silicon photonics portfolio with silicon nitride photonic integrated circuits. J. Lightwave Technol. 35, 639–649 (2017).
Wang, P. et al. Design and fabrication of a SiN-Si dual-layer optical phased array chip. Photon. Res. 8, 912–919 (2020).
Lee, E.-S., Chun, K.-W., Jin, J., Lee, S.-S. & Oh, M.-C. Monolithic integration of polymer waveguide phase modulators with silicon nitride waveguides using adiabatic transition tapers. Opt. Express 31, 4760–4769 (2023).
Chen, Y. Applications of nanoimprint lithography/hot embossing: a review. Appl. Phys. A 121, 451–465 (2015).
Maruyama, N. et al. In Novel Patterning Technologies 2023, Vol. 12497, 124970D (SPIE, 2023).
Sreenivasan, S. Nanoimprint lithography steppers for volume fabrication of leading-edge semiconductor integrated circuits. Microsyst. Nanoeng. 3, 17075 (2017).
Scarcella, C. et al. Pluggable single-mode fiber-array-to-pic coupling using micro-lenses. IEEE Photonics Technology Letters 1–1 (2017).
Xu, Y. et al. 3D-printed facet-attached microlenses for advanced photonic system assembly. Light Adv. Manuf. 4, 77 (2023).
Du, Y. et al. Detachable interface toward a low-loss reflow-compatible fiber coupling for co-packaged optics (CPO). Opt. Express 31, 1318–1329 (2023).
Gradkowski, K. et al. Demonstration of a single-mode expanded-beam connectorized module for photonic integrated circuits. J. Lightwave Technol. 41, 3470–3478 (2023).
Lu, M. et al. Photonic integration using industry ready photonic wire bonds & facet attached micro-lenses. IEEE Transactions on Components, Packaging and Manufacturing Technology 1–1 (2025).
Miller, D. A. B. Attojoule optoelectronics for low-energy information processing and communications. J. Lightwave Technol. 35, 346–396 (2017).
Weninger, D., Duessel, C., Serna, S., Kimerling, L. & Agarwal, A. Graded index couplers for next generation chip-to-chip and fiber-to-chip photonic packaging. Preprint at https://doi.org/10.48550/arXiv.2503.00121 (2025).
Yao, J. et al. Grating-coupler based low-loss optical interlayer coupling. In 8th IEEE International Conference on Group IV Photonics, 383–385 (2011).
Cabezón, M. et al. Silicon-on-insulator chip-to-chip coupling via out-of-plane or vertical grating couplers. Appl. Opt. 51, 8090–8094 (2012).
Xu, X. et al. Complementary metal-oxide-semiconductor compatible high efficiency subwavelength grating couplers for silicon integrated photonics. Appl. Phys. Lett. 101, 031109 (2012).
Zhang, Y. et al. On-chip intra- and inter-layer grating couplers for three-dimensional integration of silicon photonics. Appl. Phys. Lett. 102, 211109 (2013).
Kang, J. et al. Layer-to-layer grating coupler based on hydrogenated amorphous silicon for three-dimensional optical circuits. Jpn. J. Appl. Phys. 51, 120203 (2012).
Kang, J., Nishiyama, N., Atsumi, Y., Amemiya, T. & Arai, S. In Optoelectronic Interconnects XIII, Vol. 8630 (eds Glebov, A. L. & Chen, R. T.) 863008 (SPIE, 2013).
Kang, J. et al. Amorphous-silicon inter-layer grating couplers with metal mirrors toward 3-D interconnection. IEEE J. Sel. Top. Quantum Electron. 20, 317–322 (2014).
Kang, J. H. et al. 50 gbps data transmission through amorphous silicon interlayer grating couplers with metal mirrors. Appl. Phys. Express 7, 032202 (2014).
Nishiyama, N. et al. Si-photonics-based layer-to-layer coupler toward 3d optical interconnection. IEICE Trans. Electron. E101.C, 501–508 (2018).
Pashkova, T. & O’Brien, P. In Optical Interconnects XX, Vol. 11286 (eds Schröder, H. & Chen, R. T.) 112860N (SPIE, 2020).
Santos, R., Campo, B. B., Smit, M. K. & Leijtens, X. J. M. Vertical coupling mirror for on-wafer optical probing of photonic integrated circuits. In Advanced Photonics 2013 (2013).
Santos, R. et al. Fabrication of etched facets and vertical couplers in InP for packaging and on-wafer test. IEEE Photonics Technol. Lett. 28, 245–247 (2016).
Song, B., Stagarescu, C., Ristic, S., Behfar, A. & Klamkin, J. 3D integrated hybrid silicon laser. Opt. Express 24, 10435–10444 (2016).
Contu, P., Stagarescu, C., Behfar, A. & Klamkin, J. 3D integrated silicon photonic external cavity laser (SPECL). In 2014 IEEE Photonics Conference, 258–259 (2014).
Song, B. et al. 3D integrated hybrid silicon laser. In 2015 European Conference on Optical Communication (ECOC), 1–3 (2015).
Noriki, A., Amano, T., Mori, M. & Sakakibara, Y. Mirror-based surface optical input/output technology with precise and arbitrary coupling angle for silicon photonic application. Jpn. J. Appl. Phys. 56, 04CH04 (2017).
Boucaud, J. et al. Single mode polymer optical waveguides and out-ofplane coupling structure on a glass substrate. In 2018 7th Electronic System-Integration Technology Conference (ESTC), 1–5 (2018).
Sun, R. et al. Impedance matching vertical optical waveguide couplers for dense high index contrast circuits. Opt. Express 16, 11682–11690 (2008).
Shu, J., Qiu, C., Zhang, X. & Xu, Q. Efficient coupler between chip-level and board-level optical waveguides. Opt. Lett. 36, 3614–3616 (2011).
Bessette, J. T. & Ahn, D. Vertically stacked microring waveguides for coupling between multiple photonic planes. Opt. Express 21, 13580–13591 (2013).
Itoh, K. et al. Crystalline/amorphous si integrated optical couplers for 2d/3d interconnection. IEEE J. Sel. Top. Quantum Electron. 22, 255–263 (2016).
Ramadan, T. A. A novel design of a wideband digital vertical multimode interference coupler. J. Lightwave Technol. 34, 4015–4022 (2016).
Seok, T. J., Quack, N., Han, S., Muller, R. S. & Wu, M. C. Large-scale broadband digital silicon photonic switches with vertical adiabatic couplers. Optica 3, 64–70 (2016).
Soganci, I. M., Porta, A. L. & Offrein, B. J. Flip-chip optical couplers with scalable I/O count for silicon photonics. Opt. Express 21, 16075–16085 (2013).
Lamprecht, T. et al. Electronic-photonic board as an integration platform for Tb/S multi-chip optical communication. IET Optoelectron. 15, 92–101 (2021).
Singaravelu, P. K. J. et al. Low-loss, compact, spot-size-converter based vertical couplers for photonic integrated circuits. J. Phys. D: Appl. Phys. 52, 214001 (2019).
Marinins, A. et al. Silicon photonics co-integrated with silicon nitride for optical phased arrays. Jpn. J. Appl. Phys. 59, SGGE02 (2020).
Van Asch, J. et al. Sub-1 DB loss sin-to-polymer waveguide coupling: an enabler for co-packaged optics. In 2024 Optical Fiber Communications Conference and Exhibition (OFC), 1–3 (2024).
Xia, F. et al. An asymmetric twin-waveguide high-bandwidth photodiode using a lateral taper coupler. IEEE Photonics Technol. Lett. 13, 845–847 (2001).
Xia, F., Menon, V. & Forrest, S. Photonic integration using asymmetric twin-waveguide (ATG) technology: part I-concepts and theory. IEEE J. Sel. Top. Quantum Electron. 11, 17–29 (2005).
Menon, V., Xia, F. & Forrest, S. Photonic integration using asymmetric twin-waveguide (ATG) technology: part II-devices. IEEE J. Sel. Top. Quantum Electron. 11, 30–42 (2005).
Galarza, M., De Mesel, K., Fuentes, D., Baets, R. & Lopez-Amo, M. Modeling of InGaAsP–InP 1.55 μm lasers with integrated mode expanders using fiber-matched leaky waveguides. Appl. Phys. B 73, 585–588 (2001).
Galarza, M. et al. InGaAsP–InP 1.55 μm lasers with integrated mode expanders using fiber-matched leaky waveguides. In LEOS 2001. 14th Annual Meeting of the IEEE Lasers and Electro-Optics Society (Cat. No.01CH37242), Vol. 2, 798–799 (2001).
Galarza, M. et al. Mode-expanded 1.55-μm InP-InGaAsP Fabry-Perot lasers using arrow waveguides for efficient fiber coupling. IEEE J. Sel. Top. Quantum Electron. 8, 1389–1398 (2002).
Galarza, M., Thourhout, D. V., Baets, R. & López-Amo, M. Compact and highly-efficient vertical couplers for active-passive monolithic integration. In Integrated Photonics Research and Applications/Nanophotonics for Information Systems, IWG3 (Optica Publishing Group, 2005).
Lamponi, M. et al. Low-threshold heterogeneously integrated InP/SOI lasers with a double adiabatic taper coupler. IEEE Photonics Technol. Lett. 24, 76–78 (2012).
Huang, Q., Cheng, J., Liu, L., Tang, Y. & He, S. Ultracompact tapered coupler for the Si/III–V heterogeneous integration. Appl. Opt. 54, 4327–4332 (2015).
Liu, C., Zhao, G., Yang, F., Lu, Q. & Guo, W. Design of compact but fabrication-tolerant vertical coupler for active-passive integration. J. Lightwave Technol. 36, 755–762 (2018).
Haq, B. et al. Micro-transfer-printed III-V-on-silicon c-band semiconductor optical amplifiers. Laser Photonics Rev. 14, 1900364 (2020).
He, A., Guo, X., Sun, L., Wang, H. & Su, Y. Ultra-compact coupling structures for heterogeneously integrated silicon lasers. J. Lightwave Technol. 38, 3974–3982 (2020).
Yao, C., Cheng, Q., Roelkens, G. & Penty, R. Bridging the gap between resonance and adiabaticity: a compact and highly tolerant vertical coupling structure. Photon. Res. 10, 2081–2090 (2022).
Cai, C. et al. Superior ultrafast laser-inscribed photonic-lantern mode (de)multiplexers using trajectory-asymmetry with uniform waveguides. Light Adv. Manuf. 6, 14 (2025).
Zhang, J., Zhang, C., Liang, G. & Yang, Y. Simulation research of high-efficiency unidirectional vertical coupling grating couplers for optical through-silicon vias in 3d optoelectronic integrated circuits. Opt. Commun. 479, 126408 (2021).
Ling, Y.-C., Lee, S.-H., Saleque, A. M., Zhang, Y. & Yoo, S. J. B. 3d electronic photonic integrated circuits (3d epics): Co-design and co-integration for optimal performance at scale. In CLEO 2024, JTh3K.1 (Optica Publishing Group, 2024).
Schröder, H. et al. glassPack—a 3d glass based interposer concept for SIP with integrated optical interconnects. In 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), 1647–1652 (2010).
Lau, J. H. Recent advances and trends in advanced packaging. IEEE Trans. Compon., Packaging Manuf. Technol. 12, 228–252 (2022).
Wong, H.-S. P. et al. A density metric for semiconductor technology. Proc. IEEE 108, 478–482 (2020).
Sahoo, K., Harish, V., Ren, H. & Iyer, S. S. A review of die-to-die, die-to-substrate and die-to-wafer heterogeneous integration. IEEE Electron Devices Rev. 2, 6–31 (2025).
Mahajan, R. et al. Heterogeneous Integration Roadmap 2024: Interconnects for 2D and 3D Architectures. https://eps.ieee.org/images/files/HIR_2024/HIR_2024_ch22_2D-3D.pdf (2024).
Barwicz, T. et al. Automated, high-throughput photonic packaging. Opt. Fiber Technol. 44, 24–35 (2018). Special Issue on Data Center Communications.
La Porta, A. et al. Scalable optical coupling between silicon photonics waveguides and polymer waveguides. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 461–467 (2016).
Porta, A. L. et al. Scalable and broadband silicon photonics chip to fiber optical interface using polymer waveguides. In 2017 IEEE Optical Interconnects Conference (OI), 13–14 (2017).
Margalit, N. et al. Perspective on the future of silicon photonics and electronics. Appl. Phys. Lett. 118, 220501 (2021).
Broadcom Inc. Broadcom Ships Tomahawk 6: World’s First 102.4 Tbps Switch. https://www.broadcom.com/company/news/product-releases/63146 (2025).
Hou, H. Industry-first Co-packaged Optics Ethernet Switch Solution with Intel Silicon Photonics. https://community.intel.com/t5/Blogs/Products-and-Solutions/Ethernet/Industry-First-Co-Packaged-Optics-Ethernet-Switch-Solution-with/post/1334956 (2025).
Broadcom Inc. Broadcom Showcases Industry-leading Hyperscale Solutions at the 2022 Open Compute Project Global Summit. https://www.broadcom.com/company/news/product-releases/60581 (2025).
Torza, A. CISCO Demonstrates Co-packaged Optics (CPO) System at OFC 2023. https://blogs.cisco.com/sp/cisco-demonstrates-co-packaged-optics-cpo-system-at-ofc-2023 (2025).
Broadcom Inc. Broadcom Delivers Industry’s First 51.2-Tbps Co-packaged Optics Ethernet Switch Platform for Scalable AI Systems. https://www.broadcom.com/company/news/product-releases/61946 (2025).
NVIDIA Corporation. NVIDIA Announces Spectrum-X Photonics, Co-packaged Optics Networking Switches to Scale AI Factories to Millions of GPUs. https://investor.nvidia.com/news/press-release-details/2025/NVIDIA-Announces-Spectrum-X-Photonics-Co-Packaged-Optics-Networking-Switches-to-Scale-AI-Factories-to-Millions-of-GPUs/default.aspx (2025).
Suda, S. et al. High-power stability and reliability of polymer optical waveguide for co-packaged optics. J. Lightwave Technol. 43, 4903–4912 (2025).
Acknowledgements
This work was supported by NSF Convergence Accelerator Track I: FUTUR-IC: A Resource-Efficient Microchip Manufacturing and Operations Research Alliance, Award Number ITE-2345076.
Author information
Authors and Affiliations
Contributions
A.A., L.K. and S.S. supervised the project and contributed to conceptualization. D.W. and L.R. contributed to conceptualization and performed the data curation, analysis, and visualization. All authors participated in the writing of the manuscript.
Corresponding author
Ethics declarations
Conflict of interest
The authors declare no competing interests.
Rights and permissions
Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article’s Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article’s Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/.
About this article
Cite this article
Weninger, D., Serna, S., Ranno, L. et al. Advances in waveguide to waveguide couplers for 3D integrated photonic packaging. Light Sci Appl 15, 17 (2026). https://doi.org/10.1038/s41377-025-02048-w
Received:
Revised:
Accepted:
Published:
Version of record:
DOI: https://doi.org/10.1038/s41377-025-02048-w
























